热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

QuartusII11.0开始使用

一、AlteraQuartusII11.0套件介绍所谓巧妇难为无米之炊,再强的软硬件功底,再多的思维创造力,没有软件的平台,也只是徒劳。因此,一切创造的平台——QuartusII软

一、Altera Quartus II 11.0套件介绍

所谓巧妇难为无米之炊,再强的软硬件功底,再多的思维创造力,没有软件的平台,也只是徒劳。因此,一切创造的平台——Quartus II 软件安装,由零开启的世界,便从此开始。

自从Bingo 2009年开始接触FPGA,Quartus II 版本的软件从n年前的5.1版本到今天的最新发布的11.0,都使用过;当然对于软件核心构架而言,万变不离其宗。虽然多多少少有点bug,但这10多个版本发展到了现在,能看到Altera一直在努力,致力于更完美的用户界面,更快的综合速度的软件开发。

虽然很多老的工程师不建议积极更新软件,但一次一次的视觉冲击,版本的更新,承受不住古老,使用11.0从某种意义上讲,还是有很大的好处的。本书中以Quartus II 11.0 软件的安装为例,作为安装指南。

此外,关于Quartus II 10.0 以前版本,安装都大同小异,Bingo曾经写过“Quartus II 9.1的安装指南.pdf”,下载地址为

http://www.chinaaet.com/lib/detail.aspx?id=86239,

或者在线浏览网页:

http://blog.chinaaet.com/detail/9878.html

http://www.cnblogs.com/crazybingo/archive/2010/05/14/1735341.html

如有需要,请自行下载。

对于Quartus II 11.0 ,最基本的套件包含以下三个不部分:

(1)Quartus II 11.0 for windows软件

(2)Quartus II 11.0 Devices for windows 硬件库

(3)Altera-Modelsim for windows第三方仿真器

二、Quartus II 11.0 套件下载

1. Quartus II 11.0套件下载

Quartus II 11.0 与之前的软件有些不同,有以下几个不同的地方:

(1)Quartus II 9.1之前的软件自带仿真组件,而之后软件不再包含此组件,因此必须要仿真安装Modelsim。

(2)Quartus II 9.1之前的软件自带硬件库,不需要额外下载安装,而10.0开始

需要额外下载硬件库,另行选择安装。

(3)Quartus II 11.0之前的软件需要额外下载Nios II 组件若需要上系统,而11.0开始Quartus II 软件自带Nios II 组件。

(4)Quartus II 9.1之前的软件自带SOPC组件,而Quartus 10.0自带SOPC已经Qsys两个组件,但从10.1开始,Quartus II 之包含Qsys组件。

(5)Quartus II 10.1之前软件包括时钟综合器,即Settings中包含TimeQuest Timing Analyzer,以及Classic Timing Analyzer,但10.1以后的版本只包含了TimeQuset Time Analyzer,因此需要sdc来约束时序。

(6)好奇怪啊,难道是为了适应中国国情:

a) Quartus II 8.0以前的版本,可以输入中文也可以显示中文;

b) Quartus II 8.0以后,Quartus II 9.1以前版本的版本可以显示中文,但是不能输入中文;

c) Quartus II 9.1之后,Quartus II 11以前的版本不能输入中文,同时也不可以显示中文;

d) Quartus II 11.0目前最新版本,可以显示中文字符,同时又能也能输入中文。

关于软件的下载,有两种方法:

(1)可以去官网下载最新软件,地址为

http://www.altera.com.cn/b/fpga-design-with-quartus-ii.html?f=hp&k=pb2-0,

可以根据需要下载网络免费版,或者订购版(破解版)。

技术分享

(2)可以在altera ftp用迅雷下载(支持断点续传),地址为:

ftp://ftp.altera.com/outgoing/release/

如下图所示,以下为必须下载的组件(针对xp系统而言):

a. 11.0_quartus_windows.exe :Quartus II 软件

b. 11.0_devices_windows.exe :Quartus II 硬件库

c. 11.0_modelsim_ase_windows.exe :Altera Modelsim 仿真软件

技术分享技术分享

2. Quartus II crack下载

本来不想再本书介绍这一篇章的,貌似有点违背道德吧。但为了因此而得到更大的效益,以及满足业界无数初学者懵懂的无知,我不得不写下此篇,以及下文的破解步骤。Bingo经过网上搜索,以及部分的积累,上传了Quartus II 6.1~11.0版本软件的crack,下载地址为:

http://www.chinaaet.com/lib/detail.aspx?id=86253请自行下载。

三、Quartus II 11.0 套件安装

技术分享

1. Quartus II 11.0 软件安装

(1)下载好软件后,首先是解压,此步不做详细说明,需要注意的是软件存放目录不能有中文、非法字符等,否则会导致解压或安装失败,无法响应。

技术分享

(2)打开11.0_quartus_windows中的setup应用程序,一路next,直到安装目录选择的时候,注意,不能出现中文以及空格等路径。如下:

技术分享

(3)安装已经默认选择好了Nios II,若不需要可以不勾,如下:

技术分享

(4)开始安装,界面如下:

技术分享

(5)静默,等待安装完毕……

(6)安装完毕,OK,OK,Finish!如下:

技术分享

(7)整顿,删除64bit系统(第一个),保留32bit的软件应用程序。如下:

技术分享

(8)容忍(因为没破解,后文破解),忽略(因为没安装Device,下一步安装),OK,OK!如下:

技术分享

技术分享

2. Quartus II 11.0 Devices安装

(1)第一步解压注意事项同上Quartus II 软件安装,此处不详细说明。

(2)打开11.0_devices_windows下的应用程序setup.exe,一路next,直到出现下面界面,选择Quartus II相应的安装目录(否则会导致安装失败)。

技术分享

(3)根据自己选择需要的硬件库,如下图所示:

技术分享

(4)Next,静默,等待安装完毕……

技术分享

(5)Finish, OK!

3. Quartus II 11.0 软件破解

(1)获取本机IP物理地址

a) 打开软件,Tools-Lcense Setup,复制如下界面的ID(001e90b676fd)

技术分享

b) 运行-CMD-输入命令“ipconfig/all”,获取物理地址(001e90b676fd)

技术分享

(2)关闭杀毒软件,打开Quartus_11.0x86破解器(win7以兼容模式运行)。

技术分享

(3)点击应用,提示未找到该文件,查找安装目录下的quartus\bin\sys_cpt.dll文件,选中,crack在替换sys_cpt.dll的同时,在同一目录下生成license.bat许可证文件。

(4)运行完毕,如下界面。退出。

技术分享

(5)在D:\altera\11.0\quartus\bin目录下找到license.vat,以记事本模式打开。如上,用(1)中两种方式中的一种获取ID:001e90b676fd,去替换XXXXXXXXXXXX,保存,并删除下面的中文,得到下图2.

技术分享

技术分享

(6)重新以“30 days试用期”模式打开软件,打开Tool-License Setup,

重新在以上目录下选择license.bat文件,点确认重新导入数据,系统找到Host ID,有效期至2035.12,至此,破解完毕。

技术分享

注意:关于破解,并非只有这一种方法,所谓破解器的功能,也只是替换sys_cpt.dll文件与生成license.bat文件。因为,特殊情况下(操作失误或者偷懒的时候),可以从别的电脑相同版本软件altera\quartus\bin目录下copy 以上两个文件,直接替换,然后根据本机物理地址,修改license.bat,最后在License_Setup从新配置一下,也是行得通的。

4. Modelsim_Altera_ase 软件安装

此处有个疑惑,为什么要用ase版本?因为ase是altera start edition,是入门版,free的;ae是altera edition,是nb版,需要破解,支持更多功能吧。

但对于我们,ase版本已经足够了。如想安装ae版本,请参考Bingo当年写过的教程,网页地址如下:

http://www.cnblogs.com/crazybingo/archive/2011/02/21/1959893.html

ae版本只不过是多一个破解而已,安装比较简单。Bingo此处安装11.0_Altera_Modelsim_ase_windows.exe,以此为教程。具体步骤如下:

(1)打开安装目录下的setup,一路next,直到选择路径的时候,选择与quartus ii安装目录相同的路径。如下图所示:

技术分享

(2)继续next,静默,等待安装完毕……

(3)安装完毕,出现以下界面:

技术分享

(4)OK,Finish!

技术分享

(5)打开Quartus II,打开菜单Tool-Options,在EDA Tool Options中的Modelsim-Altera,选择Moldelsim-Altera应用程序的根目录,配置Modelsim-Altera应用程序第三方软件路径。如下图所示:

技术分享

至此,Altera-Modelsim ase版本安装完毕。

四、Quartus II 套件使用指南

关于Quartus II 套件的使用,不管是特权同学的《深入浅出玩转FPGA》还是国内外书籍、网上教材,资料甚多。但还是看官方的更靠谱,更方便,随手翻阅,不懂就看。中文翻译版也可以,Bingo为此专门上传了《Quartus II 使用教程》以及“Altera_Modelsim仿真资料”,不同版本的使用不尽相同,下载地址如下:

Quartus II 官方教程:http://www.chinaaet.com/lib/detail.aspx?id=86258

Altera_Modelsim仿真资料:http://www.chinaaet.com/lib/detail.aspx?id=86257

Quartus II 11.0 开始使用


推荐阅读
  • 微软头条实习生分享深度学习自学指南
    本文介绍了一位微软头条实习生自学深度学习的经验分享,包括学习资源推荐、重要基础知识的学习要点等。作者强调了学好Python和数学基础的重要性,并提供了一些建议。 ... [详细]
  • 基于layUI的图片上传前预览功能的2种实现方式
    本文介绍了基于layUI的图片上传前预览功能的两种实现方式:一种是使用blob+FileReader,另一种是使用layUI自带的参数。通过选择文件后点击文件名,在页面中间弹窗内预览图片。其中,layUI自带的参数实现了图片预览功能。该功能依赖于layUI的上传模块,并使用了blob和FileReader来读取本地文件并获取图像的base64编码。点击文件名时会执行See()函数。摘要长度为169字。 ... [详细]
  • 本文讨论了Alink回归预测的不完善问题,指出目前主要针对Python做案例,对其他语言支持不足。同时介绍了pom.xml文件的基本结构和使用方法,以及Maven的相关知识。最后,对Alink回归预测的未来发展提出了期待。 ... [详细]
  • Mac OS 升级到11.2.2 Eclipse打不开了,报错Failed to create the Java Virtual Machine
    本文介绍了在Mac OS升级到11.2.2版本后,使用Eclipse打开时出现报错Failed to create the Java Virtual Machine的问题,并提供了解决方法。 ... [详细]
  • 知识图谱——机器大脑中的知识库
    本文介绍了知识图谱在机器大脑中的应用,以及搜索引擎在知识图谱方面的发展。以谷歌知识图谱为例,说明了知识图谱的智能化特点。通过搜索引擎用户可以获取更加智能化的答案,如搜索关键词"Marie Curie",会得到居里夫人的详细信息以及与之相关的历史人物。知识图谱的出现引起了搜索引擎行业的变革,不仅美国的微软必应,中国的百度、搜狗等搜索引擎公司也纷纷推出了自己的知识图谱。 ... [详细]
  • 《数据结构》学习笔记3——串匹配算法性能评估
    本文主要讨论串匹配算法的性能评估,包括模式匹配、字符种类数量、算法复杂度等内容。通过借助C++中的头文件和库,可以实现对串的匹配操作。其中蛮力算法的复杂度为O(m*n),通过随机取出长度为m的子串作为模式P,在文本T中进行匹配,统计平均复杂度。对于成功和失败的匹配分别进行测试,分析其平均复杂度。详情请参考相关学习资源。 ... [详细]
  • 本文介绍了如何使用PHP向系统日历中添加事件的方法,通过使用PHP技术可以实现自动添加事件的功能,从而实现全局通知系统和迅速记录工具的自动化。同时还提到了系统exchange自带的日历具有同步感的特点,以及使用web技术实现自动添加事件的优势。 ... [详细]
  • 生成对抗式网络GAN及其衍生CGAN、DCGAN、WGAN、LSGAN、BEGAN介绍
    一、GAN原理介绍学习GAN的第一篇论文当然由是IanGoodfellow于2014年发表的GenerativeAdversarialNetworks(论文下载链接arxiv:[h ... [详细]
  • 1,关于死锁的理解死锁,我们可以简单的理解为是两个线程同时使用同一资源,两个线程又得不到相应的资源而造成永无相互等待的情况。 2,模拟死锁背景介绍:我们创建一个朋友 ... [详细]
  • 动态规划算法的基本步骤及最长递增子序列问题详解
    本文详细介绍了动态规划算法的基本步骤,包括划分阶段、选择状态、决策和状态转移方程,并以最长递增子序列问题为例进行了详细解析。动态规划算法的有效性依赖于问题本身所具有的最优子结构性质和子问题重叠性质。通过将子问题的解保存在一个表中,在以后尽可能多地利用这些子问题的解,从而提高算法的效率。 ... [详细]
  • CF:3D City Model(小思维)问题解析和代码实现
    本文通过解析CF:3D City Model问题,介绍了问题的背景和要求,并给出了相应的代码实现。该问题涉及到在一个矩形的网格上建造城市的情景,每个网格单元可以作为建筑的基础,建筑由多个立方体叠加而成。文章详细讲解了问题的解决思路,并给出了相应的代码实现供读者参考。 ... [详细]
  • Java验证码——kaptcha的使用配置及样式
    本文介绍了如何使用kaptcha库来实现Java验证码的配置和样式设置,包括pom.xml的依赖配置和web.xml中servlet的配置。 ... [详细]
  • 高质量SQL书写的30条建议
    本文提供了30条关于优化SQL的建议,包括避免使用select *,使用具体字段,以及使用limit 1等。这些建议是基于实际开发经验总结出来的,旨在帮助读者优化SQL查询。 ... [详细]
  • 在project.properties添加#Projecttarget.targetandroid-19android.library.reference.1..Sliding ... [详细]
  • 本文介绍了一种解析GRE报文长度的方法,通过分析GRE报文头中的标志位来计算报文长度。具体实现步骤包括获取GRE报文头指针、提取标志位、计算报文长度等。该方法可以帮助用户准确地获取GRE报文的长度信息。 ... [详细]
author-avatar
汶汐_782
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有