热门标签 | HotTags
当前位置:  开发笔记 > 后端 > 正文

TTL电平与CMOS电平的区别收藏

TTL电平与CMOS电平的区别收藏TTL电平信号被利用的最多是因为通常数据表示采用二进制规定,5V等价于逻辑1,0V等价于逻辑0,

 TTL电平与CMOS电平的区别 收藏
TTL电平信号被利用的最多是因为通常数据表示采用二进制规定&#xff0c;&#43;5V等价于逻辑"1"&#xff0c;0V等价于逻辑"0"&#xff0c;这被称做TTL&#xff08;晶体管-晶体管逻辑电平&#xff09;信号系统&#xff0c;这是计算机处理器控制的设备内部各部分之间通信的标准技术。            TTL电平信号对于计算机处理器控制的设备内部的数据传输是很理想的&#xff0c;首先计算机处理器控制的设备内部的数据传输对于电源的要求不高以及热损耗也较低&#xff0c;另外TTL电平信号直接与集成电路连接而不需要价格昂贵的线路驱动器以及接收器电路&#xff1b;再者&#xff0c;计算机处理器控制的设备内部的数据传输是在高速下进行的&#xff0c;而TTL接口的操作恰能满足这个要求。TTL型通信大多数情况下&#xff0c;是采用并行数据传输方式&#xff0c;而并行数据传输对于超过10英尺的距离就不适合了。这是由于可靠性和成本两面的原因。因为在并行接口中存在着偏相和不对称的问题&#xff0c;这些问题对可靠性均有影响&#xff1b;另外对于并行数据传输&#xff0c;电缆以及连接器的费用比起串行通信方式来也要高一些。 什么是TTL电平&#xff0c;什么是CMOS电平&#xff0c;他们的区别 (一)TTL高电平3.6~5V&#xff0c;低电平0V~2.4V CMOS电平Vcc可达到12V CMOS电路输出高电平约为0.9Vcc&#xff0c;而输出低电平约为 0.1Vcc。 CMOS电路不使用的输入端不能悬空&#xff0c;会造成逻辑混乱。 TTL电路不使用的输入端悬空为高电平 另外&#xff0c;CMOS集成电路电源电压可以在较大范围内变化&#xff0c;因而对电源的要求不像TTL集成电路那样严格。 用TTL电平他们就可以兼容 (二)TTL电平是5V&#xff0c;CMOS电平一般是12V。 因为TTL电路电源电压是5V&#xff0c;CMOS电路电源电压一般是12V。 5V的电平不能触发CMOS电路&#xff0c;12V的电平会损坏TTL电路&#xff0c;因此不能互相兼容匹配。 (三)TTL电平标准 输出 L&#xff1a; <0.8V &#xff1b; H&#xff1a;>2.4V。 输入 L&#xff1a; <1.2V &#xff1b; H&#xff1a;>2.0V TTL器件输出低电平要小于0.8V&#xff0c;高电平要大于2.4V。输入&#xff0c;低于1.2V就认为是0&#xff0c;高于2.0就认为是1。 CMOS电平&#xff1a; 输出 L&#xff1a; <0.1*Vcc &#xff1b; H&#xff1a;>0.9*Vcc。 输入 L&#xff1a; <0.3*Vcc &#xff1b; H&#xff1a;>0.7*Vcc.             一般单片机、DSP、FPGA他们之间管教能否直接相连. 一般情况下&#xff0c;同电压的是可以的&#xff0c;不过最好是要好好查查技术手册上的VIL,VIH,VOL,VOH的值&#xff0c;看是否能够匹配&#xff08;VOL要小于VIL&#xff0c;VOH要大于VIH&#xff0c;是指一个连接当中的&#xff09;。有些在一般应用中没有问题&#xff0c;但是参数上就是有点不够匹配&#xff0c;在某些情况下可能就不够稳定&#xff0c;或者不同批次的器件就不能运行。 例如&#xff1a;74LS的器件的输出&#xff0c;接入74HC的器件。在一般情况下都能好好运行&#xff0c;但是&#xff0c;在参数上却是不匹配的&#xff0c;有些情况下就不能运行。 TTL与COMS电平使用区别 1、电平的上限和下限定义不一样&#xff0c;CMOS具有更大的抗噪区域。 同是5伏供电的话&#xff0c;ttl一般是1.7V和3.5V的样子&#xff0c;CMOS一般是 2.2V,2.9V的样子&#xff0c;不准确&#xff0c;仅供参考。 2、电流驱动能力不一样&#xff0c;ttl一般提供25毫安的驱动能力&#xff0c;而 CMOS一般在10毫安左右。 3、需要的电流输入大小也不一样&#xff0c;一般ttl需要2.5毫安左右&#xff0c;CMOS 几乎不需要电流输入。 4、很多器件都是兼容ttl和CMOS的&#xff0c;datasheet会有说明。如果不考虑 速度和性能&#xff0c;一般器件可以互换。但是需要注意有时候负载效应可能 引起电路工作不正常&#xff0c;因为有些ttl电路需要下一级的输入阻抗作为 负载才能正常工作。 TTL——Transistor-Transistor Logic HTTL——High-speed TTL LTTL——Low-power TTL STTL——Schottky TTL LSTTL——Low-power Schottky TTL ASTTL——Advanced Schottky TTL ALSTTL——Advanced Low-power Schottky TTL FAST(F)——Fairchild Advanced schottky TTL CMOS——Complementary metal-oxide-semiconductor HC/HCT——High-speed CMOS Logic(HCT与TTL电平兼容) AC/ACT——Advanced CMOS Logic(ACT与TTL电平兼容)&#xff08;亦称ACL&#xff09; AHC/AHCT——Advanced High-speed CMOS Logic(AHCT与TTL电平兼容) FCT——FACT扩展系列&#xff0c;与TTL电平兼容 FACT——Fairchild Advanced CMOS Technology,其 1&#xff0c;TTL电平&#xff1a; 输出高电平 〉2.4V 输出低电平 〈0.4V 在室温下&#xff0c;一般输出高电平是3.5V 输出低电平是0.2V。 最小输入高电平和低电平 输入高电平 〉&#61;2.0V 输入低电平 《&#61;0.8V 它的噪声容限是0.4V. 2,CMOS电平&#xff1a; 逻辑电平电压接近于电源电压&#xff0c;0逻辑电平接近于0V。而且具有很宽的噪声容限。 3&#xff0c;电平转换电路&#xff1a; 因为TTL和COMS的高低电平的值不一样&#xff08;ttl 5vcmos 3.3v&#xff09;&#xff0c;所以互相连接时需 要电平的转换&#xff1a;就是用两个电阻对电平分压&#xff0c;没有什么高深的东西。 4&#xff0c;OC门&#xff0c;即集电极开路门电路&#xff0c;OD门&#xff0c;即漏极开路门电路&#xff0c;必须外界上拉电阻和电源才能 将开关电平作为高低电平用。否则它一般只作为开关大电压和大电流负载&#xff0c;所以又叫做驱 动门电路。 5&#xff0c;TTL和COMS电路比较&#xff1a; 1&#xff09;TTL电路是电流控制器件&#xff0c;而coms电路是电压控制器件。 2&#xff09;TTL电路的速度快&#xff0c;传输延迟时间短(5-10ns)&#xff0c;但是功耗大。 COMS电路的速度慢&#xff0c;传输延迟时间长(25-50ns),但功耗低。 COMS电路本身的功耗与输入信号的脉冲频率有关&#xff0c;频率越高&#xff0c;芯片集越热&#xff0c;这是正常 现象。 3&#xff09;COMS电路的锁定效应&#xff1a; COMS电路由于输入太大的电流&#xff0c;内部的电流急剧增大&#xff0c;除非切断电源&#xff0c;电流一直在增大 。这种效应就是锁定效应。当产生锁定效应时&#xff0c;COMS的内部电流能达到40mA以上&#xff0c;很容易 烧毁芯片。 防御措施&#xff1a; 1&#xff09;在输入端和输出端加钳位电路&#xff0c;使输入和输出不超过不超过规定电压。 2&#xff09;芯片的电源输入端加去耦电路&#xff0c;防止VDD端出现瞬间的高压。 3&#xff09;在VDD和外电源之间加线流电阻&#xff0c;即使有大的电流也不让它进去。 4&#xff09;当系统由几个电源分别供电时&#xff0c;开关要按下列顺序&#xff1a;开启时&#xff0c;先开启COMS电路得电 源&#xff0c;再开启输入信号和负载的电源&#xff1b;关闭时&#xff0c;先关闭输入信号和负载的电源&#xff0c;再关闭COMS 电路的电源。 6&#xff0c;COMS电路的使用注意事项 1&#xff09;COMS电路时电压控制器件&#xff0c;它的输入总抗很大&#xff0c;对干扰信号的捕捉能力很强。所以 &#xff0c;不用的管脚不要悬空&#xff0c;要接上拉电阻或者下拉电阻&#xff0c;给它一个恒定的电平。 2&#xff09;输入端接低内组的信号源时&#xff0c;要在输入端和信号源之间要串联限流电阻&#xff0c;使输入的 电流限制在1mA之内。 3&#xff09;当接长信号传输线时&#xff0c;在COMS电路端接匹配电阻。 4&#xff09;当输入端接大电容时&#xff0c;应该在输入端和电容间接保护电阻。电阻值为R&#61;V0/1mA.V0是 外界电容上的电压。 5&#xff09;COMS的输入电流超过1mA&#xff0c;就有可能烧坏COMS。 7&#xff0c;TTL门电路中输入端负载特性&#xff08;输入端带电阻特殊情况的处理&#xff09;&#xff1a; 1&#xff09;悬空时相当于输入端接高电平。因为这时可以看作是输入端接一个无穷大的电阻。 2&#xff09;在门电路输入端串联10K电阻后再输入低电平&#xff0c;输入端出呈现的是高电平而不是低电 平。因为由TTL门电路的输入端负载特性可知&#xff0c;只有在输入端接的串联电阻小于910欧时&#xff0c; 它输入来的低电平信号才能被门电路识别出来&#xff0c;串联电阻再大的话输入端就一直呈现高电 平。这个一定要注意。COMS门电路就不用考虑这些了。 8&#xff0c;TTL电路有集电极开路OC门&#xff0c;MOS管也有和集电极对应的漏极开路的OD门&#xff0c;它的输出就叫 做开漏输出。 OC门在截止时有漏电流输出&#xff0c;那就是漏电流&#xff0c;为什么有漏电流呢&#xff1f;那是因为当三机管截 止的时候&#xff0c;它的基极电流约等于0&#xff0c;但是并不是真正的为0&#xff0c;经过三极管的集电极的电流也 就不是真正的0&#xff0c;而是约0。而这个就是漏电流。开漏输出&#xff1a;OC门的输出就是开漏输出&#xff1b;OD 门的输出也是开漏输出。它可以吸收很大的电流&#xff0c;但是不能向外输出的电流。所以&#xff0c;为了 能输入和输出电流&#xff0c;它使用的时候要跟电源和上拉电阻一齐用。OD门一般作为输出缓冲/驱 动器、电平转换器以及满足吸收大负载电流的需要。 9&#xff0c;什么叫做图腾柱&#xff0c;它与开漏电路有什么区别&#xff1f; TTL集成电路中&#xff0c;输出有接上拉三极管的输出叫做图腾柱输出&#xff0c;没有的叫做OC门。因为 TTL就是一个三级关&#xff0c;图腾柱也就是两个三级管推挽相连。所以推挽就是图腾。一般图腾式 输出&#xff0c;高电平400UA&#xff0c;低电平8MA 1.      TTL电路和CMOS电路的逻辑电平 VOH:   逻辑电平 1 的输出电压 VOL:   逻辑电平 0 的输出电压 VIH :   逻辑电平 1 的输入电压 VIH :   逻辑电平 0 的输入电压 TTL电路临界值&#xff1a; VOHmin    &#61;     2.4V     VOLmax   &#61;     0.4V VIHmin    &#61;     2.0V     VILmax   &#61;     0.8V CMOS电路临界值&#xff08;电源电压为&#xff0b;5V&#xff09; VOHmin    &#61;     4.99V      VOLmax   &#61;     0.01V VIHmin    &#61;     3.5V     VILmax   &#61;     1.5V 2.      TTL和CMOS的逻辑电平转换 CMOS电平能驱动TTL电平 TTL电平不能驱动CMOS电平&#xff0c;需加上拉电阻。 3.      常用逻辑芯片特点 74LS系列&#xff1a;     TTL      输入:     TTL      输出:     TTL 74HC系列&#xff1a;     CMOS     输入:     CMOS     输出:     CMOS 74HCT系列&#xff1a;     CMOS     输入:     TTL      输出:     CMOS CD4000系列&#xff1a;     CMOS     输入:     CMOS     输出:     CMOS

本文来自CSDN博客&#xff0c;转载请标明出处&#xff1a;http://blog.csdn.net/bird67/archive/2009/04/21/4098606.aspx


推荐阅读
  • GetWindowLong函数
    今天在看一个代码里头写了GetWindowLong(hwnd,0),我当时就有点费解,靠,上网搜索函数原型说明,死活找不到第 ... [详细]
  • EPICS Archiver Appliance存储waveform记录的尝试及资源需求分析
    本文介绍了EPICS Archiver Appliance存储waveform记录的尝试过程,并分析了其所需的资源容量。通过解决错误提示和调整内存大小,成功存储了波形数据。然后,讨论了储存环逐束团信号的意义,以及通过记录多圈的束团信号进行参数分析的可能性。波形数据的存储需求巨大,每天需要近250G,一年需要90T。然而,储存环逐束团信号具有重要意义,可以揭示出每个束团的纵向振荡频率和模式。 ... [详细]
  • android listview OnItemClickListener失效原因
    最近在做listview时发现OnItemClickListener失效的问题,经过查找发现是因为button的原因。不仅listitem中存在button会影响OnItemClickListener事件的失效,还会导致单击后listview每个item的背景改变,使得item中的所有有关焦点的事件都失效。本文给出了一个范例来说明这种情况,并提供了解决方法。 ... [详细]
  • 云原生边缘计算之KubeEdge简介及功能特点
    本文介绍了云原生边缘计算中的KubeEdge系统,该系统是一个开源系统,用于将容器化应用程序编排功能扩展到Edge的主机。它基于Kubernetes构建,并为网络应用程序提供基础架构支持。同时,KubeEdge具有离线模式、基于Kubernetes的节点、群集、应用程序和设备管理、资源优化等特点。此外,KubeEdge还支持跨平台工作,在私有、公共和混合云中都可以运行。同时,KubeEdge还提供数据管理和数据分析管道引擎的支持。最后,本文还介绍了KubeEdge系统生成证书的方法。 ... [详细]
  • Windows下配置PHP5.6的方法及注意事项
    本文介绍了在Windows系统下配置PHP5.6的步骤及注意事项,包括下载PHP5.6、解压并配置IIS、添加模块映射、测试等。同时提供了一些常见问题的解决方法,如下载缺失的msvcr110.dll文件等。通过本文的指导,读者可以轻松地在Windows系统下配置PHP5.6,并解决一些常见的配置问题。 ... [详细]
  • 知识图谱——机器大脑中的知识库
    本文介绍了知识图谱在机器大脑中的应用,以及搜索引擎在知识图谱方面的发展。以谷歌知识图谱为例,说明了知识图谱的智能化特点。通过搜索引擎用户可以获取更加智能化的答案,如搜索关键词"Marie Curie",会得到居里夫人的详细信息以及与之相关的历史人物。知识图谱的出现引起了搜索引擎行业的变革,不仅美国的微软必应,中国的百度、搜狗等搜索引擎公司也纷纷推出了自己的知识图谱。 ... [详细]
  • 本文介绍了Perl的测试框架Test::Base,它是一个数据驱动的测试框架,可以自动进行单元测试,省去手工编写测试程序的麻烦。与Test::More完全兼容,使用方法简单。以plural函数为例,展示了Test::Base的使用方法。 ... [详细]
  • Voicewo在线语音识别转换jQuery插件的特点和示例
    本文介绍了一款名为Voicewo的在线语音识别转换jQuery插件,该插件具有快速、架构、风格、扩展和兼容等特点,适合在互联网应用中使用。同时还提供了一个快速示例供开发人员参考。 ... [详细]
  • XML介绍与使用的概述及标签规则
    本文介绍了XML的基本概念和用途,包括XML的可扩展性和标签的自定义特性。同时还详细解释了XML标签的规则,包括标签的尖括号和合法标识符的组成,标签必须成对出现的原则以及特殊标签的使用方法。通过本文的阅读,读者可以对XML的基本知识有一个全面的了解。 ... [详细]
  • 本文详细介绍了在ASP.NET中获取插入记录的ID的几种方法,包括使用SCOPE_IDENTITY()和IDENT_CURRENT()函数,以及通过ExecuteReader方法执行SQL语句获取ID的步骤。同时,还提供了使用这些方法的示例代码和注意事项。对于需要获取表中最后一个插入操作所产生的ID或马上使用刚插入的新记录ID的开发者来说,本文提供了一些有用的技巧和建议。 ... [详细]
  • Tomcat/Jetty为何选择扩展线程池而不是使用JDK原生线程池?
    本文探讨了Tomcat和Jetty选择扩展线程池而不是使用JDK原生线程池的原因。通过比较IO密集型任务和CPU密集型任务的特点,解释了为何Tomcat和Jetty需要扩展线程池来提高并发度和任务处理速度。同时,介绍了JDK原生线程池的工作流程。 ... [详细]
  • 本文介绍了Linux系统中正则表达式的基础知识,包括正则表达式的简介、字符分类、普通字符和元字符的区别,以及在学习过程中需要注意的事项。同时提醒读者要注意正则表达式与通配符的区别,并给出了使用正则表达式时的一些建议。本文适合初学者了解Linux系统中的正则表达式,并提供了学习的参考资料。 ... [详细]
  • HDFS2.x新特性
    一、集群间数据拷贝scp实现两个远程主机之间的文件复制scp-rhello.txtroothadoop103:useratguiguhello.txt推pushscp-rr ... [详细]
  • 热血合击脚本辅助工具及随机数生成器源码分享
    本文分享了一个热血合击脚本辅助工具及随机数生成器源码。游戏脚本能够实现类似真实玩家的操作,但信息量有限且操作不可控。热血合击脚本辅助工具可以帮助玩家自动刷图、换图拉怪等操作,并提供了雷电云手机的扩展服务。此外,还介绍了使用mt_rand函数作为随机数生成器的代码示例。 ... [详细]
  • 本文介绍了在Windows环境下如何配置php+apache环境,包括下载php7和apache2.4、安装vc2015运行时环境、启动php7和apache2.4等步骤。希望对需要搭建php7环境的读者有一定的参考价值。摘要长度为169字。 ... [详细]
author-avatar
戊辰冬月半
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有