热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

b计数器位选信号verilog_Verilog:笔试面试常考易错点整理

1.Verilog为什么适合描述硬件设计?always块间是并行的,符合硬件中电路并行计算的特性always时钟触发的特性,符合寄存器的行

1.Verilog为什么适合描述硬件设计?

  • always块间是并行的,符合硬件中电路并行计算的特性

  • always时钟触发的特性,符合寄存器的行为

  • Verilog是一种自顶向下的层次化设计方法,能够将复杂的大型数字系统划分为规模较小且功能相对简单的单元电路,从而加速大型数字系统的设计、调试等工作

  • Verilog的行为级描述方法可以简化硬件电路的设计,可借助于高级语言的精巧结构

  • Verilog支持开关级、门级、RTL级、算法级、系统级设计

2.阻塞赋值和非阻塞赋值的区别?

  • 阻塞赋值的操作符为=,阻塞的概念是指在同一个always块中,其后面的赋值语句从概念上是在前一句赋值语句结束后在开始赋值的,阻塞赋值在语句结束后立即完成赋值操作,可以认为是顺序执行,用于组合逻辑的设计;
  • 非阻塞赋值的操作符为<&#61;&#xff0c;非阻塞赋值的操作可以看作为两个步骤的过程&#xff1a;1)在赋值时刻开始时&#xff0c;计算非阻塞赋值RHS表达式&#xff0c;2)在赋值时刻结束时&#xff0c;更新非阻塞赋值LHS表达式。是一个同时赋值的操作&#xff0c;并行执行&#xff0c;用于时序逻辑的设计

3.为什么用于综合的verilog不建议使用for循环&#xff1f;

  • DC无法优化for循环的逻辑&#xff0c;只是单纯进行展开和复制&#xff0c;可能增大电路的面积&#xff0c;降低性能

4.如何防止综合出Latch&#xff1f;

  • 在if-else和case中判断所有条件分支

  • 在组合逻辑进程中&#xff0c;敏感向量列表要包含所有要读取的信号(包括RHS和判断语句中的信号)(注意&#xff1a;对仿真有影响&#xff0c;但是综合工具会自动补全敏感向量列表&#xff0c;所以在综合之后的电路中是不会有latch的)

  • 不要出现自己给自己赋值的情况

  • 不要出现组合逻辑环路

5.verilog可综合风格&#xff1f;

  • 时序逻辑用非阻塞赋值&#xff0c;组合逻辑用阻塞赋值&#xff0c;同一个always块中既有时序逻辑又有组合逻辑时用非阻塞赋值&#xff0c;不要在同一个always块中混合使用&#xff0c;不要在两个及以上always块中对同一个变量赋值

  • 所有内部寄存器都能复位&#xff0c;通过复位使信号初始状态可预测

  • 不混合使用上升下降沿(可以考虑使用倍频时钟来设计)

  • 不使用initial&#xff0c;不要使用延时&#xff0c;不使用循环次数不确定的循环语句

  • 防止出现Latch(除非目的性的Latch)

6.verilog可综合、不可综合语句汇总&#xff1f;

  • 所有综合工具都支持的结构

    always&#xff0c;assign&#xff0c;begin&#xff0c;end&#xff0c;case&#xff0c;wire&#xff0c;tri&#xff0c;aupply0&#xff0c;supply1&#xff0c;reg&#xff0c;integer&#xff0c;default&#xff0c;for&#xff0c;function&#xff0c;and&#xff0c;nand&#xff0c;or&#xff0c;nor&#xff0c;xor&#xff0c;xnor&#xff0c;buf&#xff0c;not&#xff0c;bufif0&#xff0c;bufif1&#xff0c;notif0&#xff0c;notif1&#xff0c;if&#xff0c;inout&#xff0c;input&#xff0c;instantitation&#xff0c;module&#xff0c;negedge&#xff0c;posedge&#xff0c;operators&#xff0c;output&#xff0c;parameter

  • 所有综合工具都不支持的结构

    time&#xff0c;defparam&#xff0c;$finish&#xff0c;fork&#xff0c;join&#xff0c;initial&#xff0c;delays&#xff0c;UDP&#xff0c;wait 

  • 有些工具支持有些工具不支持的结构

    casex&#xff0c;casez&#xff0c;wand&#xff0c;triand&#xff0c;wor&#xff0c;trior&#xff0c;real&#xff0c;disable&#xff0c;forever&#xff0c;arrays&#xff0c;memories&#xff0c;repeat&#xff0c;task&#xff0c;while。 

  • 注&#xff1a;while在某些情况下是可以综合的&#xff0c;例如while(posedge clk)这和always&#64;(posedge clk)作用一样。

7.function和task区别&#xff1f;

  • 可综合的任务和函数都只能实现组合逻辑

比较点

任务

函数

输入、输出

可以有任意多个各种类型的参数

至少有一个输入&#xff0c;不能有输出和双向端口

调用

任务只能在过程语句中调用&#xff0c;而不能在连续赋值语句中调用

函数可作为赋值操作的表达式&#xff0c;用于过程赋值和连续赋值语句

触发事件控制

任务不能出现always语句&#xff1b;可以包含延时控制语句(#)&#xff0c;事件控制&#64;等&#xff0c;但只能面向仿真&#xff0c;不能综合(可综合的任务只能实现组合逻辑)

函数中不能出现(always、#)这样的语句&#xff0c;要保证函数的执行在零时间内完成

调用其他任务和函数

可以调用其他任务和函数

只能调用函数&#xff0c;不能调用任务

返回值

没有返回值

只有一个返回值

其他说明

任务调用语句可以作为一条完整的语句出现

函数调用语句不能单独作为一条语句出现&#xff0c;只能作为赋值语句的右端操作数

8.一段式、两段式、三段式状态机&#xff1f;

  • 一段式(要避免)&#xff1a;将整个状态机写在一个always块中&#xff0c;将状态转移判断的组合逻辑和状态寄存器转移的时序逻辑混写在一起&#xff0c;既描述状态转移&#xff0c;又描述转移状态的输入和输出。一段式不利于时序约束、功能更改、调试&#xff0c;不利于综合器和布局布线器对设计优化&#xff0c;不能很好的表示米勒FSM的输出&#xff0c;容易写出Latch。

  • 两段式&#xff1a;其中一个always模块采用同步时序描述状态转移&#xff0c;另一个模块采用组合逻辑判断状态转移条件&#xff0c;描述状态转移规律。好处是&#xff0c;不仅便于理解维护&#xff0c;更有利于综合器和布局布线器优化设计&#xff0c;利于添加时序约束。缺点是&#xff0c;由于输出直接采用组合逻辑&#xff0c;容易产生毛刺。

  • 三段式&#xff1a;一个always块采用同步时序描述状态转移&#xff0c;第二个采用组合逻辑判断状态转移条件&#xff0c;描述状态转移规律&#xff0c;第三个使用同步时序电路描述次态的输出。相比于两段式&#xff0c;虽然代码结构稍微复杂一些&#xff0c;但是消除了组合逻辑输出的不稳定和毛刺&#xff0c;更利于时序路径分组&#xff0c;获得更好的综合及布局布线结果

9.Mealy状态机和Moore状态机区别、优缺点&#xff1f;

  • Mealy状态机的输出不但取决于当前状态还取决于输入信号

    优点&#xff1a;实现一个功能所需要的状态数相比于moore型更少&#xff0c;更利于设计复杂的状态机

    缺点&#xff1a;如果不对输出做同步处理&#xff0c;输出容易出现glitch&#xff1b;由于输出不仅取决于状态还取决于输入&#xff0c;因此输出的译码电路会更复杂&#xff0c;可以认为mealy能跑的频率比moore低一些

  • Moore状态机的输出只取决于当前状态

    优缺点&#xff1a;互换一下&#xff1b;moore型最重要的特点就是将输入和输出隔离开(但是对于三段式的设计来说&#xff0c;mealy型也是隔离开的)

10.状态机编码选择&#xff1f;

  • 二进制编码和格雷码使用较多的组合逻辑&#xff0c;使用最少的触发器

  • 而独热编码则相反&#xff0c;独热编码的优势在于比较时仅需比较一个bit&#xff0c;简化了比较逻辑&#xff0c;提高了状态转换的速度&#xff0c;同时也减少毛刺产生概率&#xff0c;增强稳定性&#xff0c;缺点是需要更多的触发器资源

  • 小型设计用二进制编码和格雷码更有效&#xff0c;而大型设计用独热编码更高效。

11.独热码优点&#xff1f;

  • 可以将特征向量映射到欧式空间&#xff0c;让特征之间的距离计算更合理

  • 状态机使用独热码&#xff0c;不需要再译码&#xff0c;可以简化相关组合逻辑

  • 减少毛刺产生概率&#xff0c;增强稳定性

12.DFT的意义及常用DFT技术&#xff1f;

意义&#xff1a;

  • 缩短产品进入市场的时间(TTM)

  • 降低测试成本(COT)

  • 提高产品质量

技术&#xff1a;

  • 扫描设计(SCAN): 将电路中的普通触发器(flip-flops)替换为具有扫描能力的扫描触发器&#xff0c;然后连成扫描链

  • 内建自测试(BIST)&#xff1a;靠自身逻辑进行判断&#xff0c;需要管脚大大减少&#xff0c;用于RAM和ROM

  • 边缘扫描(Boundary Scan)&#xff1a;每一个输入输出引脚上增加一个存储单元&#xff0c;然后再将这些存储单元连成一个扫描通路&#xff0c;从而构成一条扫描链

24e63cb3eded24aa98393dc0a3b99044.png

13.竞争和冒险、Glitch及消除办法&#xff1f;

  • 竞争和冒险&#xff1a;组合逻辑中&#xff0c;由于门的输入信号经过不同的通路会有不同的延时&#xff0c;导致到达的时间不同的现象叫做竞争&#xff0c;由此带来毛刺信号叫做冒险。

  • 产生原因&#xff1a;组合逻辑延时导致当输入信号发生变化时&#xff0c;其输出不能同步跟随输入变化&#xff0c;经过一段过渡时间才到达原先期望的状态&#xff0c;这时会产生小的寄生毛刺信号。

  • 危害性&#xff1a;毛刺信号并不是对所有输入都有危害&#xff0c;如触发器D端&#xff0c;只要毛刺不出现在时钟上升沿并且满足数据的建立保持时间&#xff0c;就没影响&#xff1b;但当毛刺信号成为系统的控制信号、握手信号、复位信号、时钟信号时就会导致逻辑错误。

  • 冒险分类&#xff1a;冒险分逻辑冒险和功能冒险。逻辑冒险是只有一个信号发生变化产生的冒险&#xff0c;是由逻辑表达式导致的&#xff0c;可以通过代数式或卡诺图判断出来&#xff1b;功能冒险是当两个或者两个以上的输入信号发生变化导致的输出端产生毛刺&#xff0c;无法通过修改逻辑表达式来消除。

  • 消除办法&#xff1a;

    1)利用冗余项消除毛刺&#xff1a;在卡诺图的两圆相切处增加一个圆&#xff0c;可以消除逻辑冒险&#xff0c;但对计数器型产生的毛刺无法消除

    2)取样法(选通法)&#xff1a;待信号稳定后加入取样脉冲&#xff0c;就只有在取样脉冲作用期间输出信号才有效(触发器其实也是类似的)

    3)吸收法&#xff1a;在输出端增加小电容消除毛刺&#xff0c;但会影响波形&#xff0c;可再加整形电路&#xff0c;该方法不宜使用在中间级

    4)锁存法&#xff1a;在输出端加D触发器加以消除&#xff0c;但有时会影响时序&#xff0c;最好采用修改电路等其他办法来彻底消除

    5)计数器后接的组合逻辑的glitch可以通过将二进制编码转换成格雷码来完全消除

14.case/casez/casex的区别&#xff1f;

三种语句表达式的值是按从上到下的顺序来与分支条件的比较&#xff0c;如果相等&#xff0c;则不再与下面的分支相比较而直接执行该分支的语句

  • case语句的表达式的值有4中情况&#xff1a;0、1、z、x。4种是不同的&#xff0c;故表达式要严格的相等才可以操作分支语句。

  • casez语句中的表达式情况有三种&#xff1a;0、1、x。不用关心z&#xff0c;z可以和任何数值相等&#xff0c;即z &#61;0.z&#61; 1,z&#61;x;

  • casex语句的表达式情况有二种&#xff1a;0、1.不用关心x和z。即x&#61;z&#61;0,x&#61;z&#61;1

5d86343c3396009e958a30e98694fad4.png

  • 综合时的差别&#xff1a;(case/casez/casex其实都是可综合的&#xff0c;这一点要记住)

    1)case(不是casez/casex的时候)的index列表里面的x和z&#xff0c;都被综合工具认为是不可达到的状态就被去掉了

    2)casez和casex里面的x/z都被认为是don&#39;t care&#xff0c;所以综合出的电路会是一致的&#xff0c;因此这两个在综合来看没有孰优孰劣 

  • 注意&#xff1a;要明确的是在case/casez/casex中&#39;?&#39;代表的不是don&#39;t care&#xff0c;而是&#39;z&#39;

15.跨时钟域同步方法&#xff1f;(后续会专门推出跨时钟域同步的专题)

  • 对于1bit信号&#xff0c;一般来说都是控制信号&#xff0c;方法有

    a)如果是慢时钟域到快时钟域&#xff0c;采用两级触发器同步来抑制亚稳态传播&#xff0c;如果快时钟域只要求输出一个时钟周期的有效信号&#xff0c;那么可以采用边沿检测电路&#xff1b;

    b)如果是快时钟域到慢时钟域&#xff0c;需要将快时钟域的信号展宽保证慢时钟可以才到&#xff0c;可以采用计数、状态机、握手协议来完成&#xff0c;但这些的前提都是快时钟域的控制信号是不连续的&#xff0c;一般都能满足(如果不行就采用FIFO)&#xff1b;也可以采用窄脉冲检测电路或脉冲同步器。

  • 对于多比特的信号&#xff0c;一般来说是数据信号&#xff0c;方法有

    a)如果是非连续信号(数据变化速率低于接收时钟)&#xff0c;可以采用握手协议或者DMUX

    b)如果是连续信号&#xff0c;则必须采用FIFO

16.同步设计的优越性、缺点及设计规则&#xff1f;

优越性&#xff1a;

  • 可以利用先进STA工具&#xff0c;简化时序分析过程&#xff0c;时序收敛更方便

  • 可以更方便的组织流水线&#xff0c;提高芯片速度

  • 可以有效避免毛刺&#xff0c;提高设计可靠性

  • 工艺兼容性好&#xff0c;可移植性强

缺点&#xff1a;

  • 由于需要做时钟树的平衡&#xff0c;需要更多逻辑资源&#xff0c;且过高的信后翻转率使得功耗大于异步设计

设计规则&#xff1a;

  • 尽可能整个设计只使用一个主时钟&#xff0c;同时只使用同一个时钟沿

  • 当全部电路不能用同步电路设计时&#xff0c;可以分成若干局部同步电路&#xff0c;局部同步电路之间的接口当作异步接口处理

  • 电路实际频率不能大于理论最大频率&#xff0c;留有余量&#xff0c;保证芯片可靠

  • 电路中所有寄存器、状态机在复位时都应处于已知的状态

17.同步电路和异步电路的概念&#xff1f;

  • 同步电路&#xff1a;电路中存储元件的状态只在时钟沿到来时才能同步发生变化&#xff0c;且是同一个时钟(或者是相位固定的同步时钟)&#xff0c;占据了当前数字芯片的绝大多数部分。

  • 异步电路&#xff1a;存储元件的状态随输入信号的变化立刻发生变化&#xff0c;信号之间的传递通过握手协议来完成&#xff0c;异步电路时序很复杂&#xff0c;规模通常无法做大&#xff0c;限制了其用途。

18.功能覆盖率、代码覆盖率、断言覆盖率&#xff1f;

  • 功能覆盖率&#xff1a;用来衡量哪些设计特征已经被测试程序测试过的一个指标&#xff0c;首要的选择是使用更多的种子来运行现有的测试程序&#xff1b;其次是建立新的约束&#xff0c;只有在确实需要的时候才会求助于定向测试&#xff0c;改进功能覆盖率最简单的方法是仅仅增加仿真时间或者尝试新的随机种子。验证的目的就是确保设计在实际环境中的行为正确。设计规范里详细说明了设备应该如何运行&#xff0c;而验证计划里则列出了相应的功能应该如何激励、验证和测量。功能覆盖率是和设计意图紧密相连的&#xff0c;有时也称为”规范覆盖率“&#xff0c;而代码覆盖率则是衡量设计的实现情况。

  • 代码覆盖率&#xff1a;衡量验证进展的最简易的方式是使用代码覆盖率。这种方式衡量的是多少行代码已经被执行过。代码覆盖率衡量的是测试对于设计规范的“实现”究竟测试得有多彻底&#xff0c;而非针对验证计划。多少行代码已经被执行过(行覆盖率)&#xff0c;在穿过代码和表达式中的路径中有哪些已经被执行过(路径覆盖率)&#xff0c;单比特变量的值是0或1(翻转覆盖率)&#xff0c;状态机中有哪些状态和状态转换被访问过(有限状态机覆盖率)。代码覆盖率包括&#xff1a;语句覆盖率(行覆盖率)、分支覆盖率(判定覆盖)、条件覆盖率、路径覆盖率、循环覆盖率、有限状态机覆盖率、翻转覆盖率。(分支覆盖率和条件覆盖率的区别&#xff1a;条件覆盖不是将判定中的每个条件表达式的结果进行排列组合&#xff0c;而是只要每个条件表达式的结果true和false测试到了就OK了。因此&#xff0c;我们可以这样推论&#xff1a;完全的条件覆盖并不能保证完全的判定覆盖)

  • 断言覆盖率测量断言被触发的频繁程度。

19.条件运算符对x和z的处理&#xff1f;

723856181bb4bfa94ba63b7ec01430bf.png

20.乒乓buffer的概念&#xff1f;

  • ping-pong buffer 也叫双缓存 double buffer, (必须是两个)就是一个缓存在写入的时候, 另一个缓存同时在处理的结构. 用来提高计算机运行速度, 在显示数据处理中常常用到。可以提高系统的数据吞吐率&#xff0c;两个存储器是并行处理的。

  • 乒乓buffer是一种常用的提高数据通路带宽的技术&#xff0c;是一种面积换性能的经典设计。个人理解&#xff1a;乒乓buffer并不能提高频率&#xff0c;但是可以提高数据吞吐率。

  • 应用场景&#xff1a;

    1)下游必须等到上游数据全部写完或者积累到某个程度才能开始读

    2)上游必须等到下游数据全部读完或者读到某个程度才能开始写

  • 如果没有以上约束&#xff0c;就没必要用乒乓buffer&#xff0c;直接使用普通的buffer即可。乒乓buffer要解决的关键性能点就在于上下游的互相等待这段latency

21.线与逻辑&#xff1f;

  • OC(Open Collector)门&#xff0c;又称集电极开路&#xff0c;OD(Open Drain)漏极开路&#xff0c;通过名称就可以判断&#xff0c;OC门是针对三极管来说的&#xff0c;而OD门是针对MOS管而言

  • 所谓漏极开路门(OD门)是指CMOS门电路的输出只有NMOS管&#xff0c;并且它的漏极是开路的。使用OD门时必须在漏极和电源VDD之间外接一个上拉电阻(pull-up resister)RP。如图所示为两个OD与非门实现线与&#xff0c;将两个门电路输出端接在一起&#xff0c;通过上拉电阻接电源

  • 线与逻辑&#xff1a;即两个输出端(包括两个以上)直接互连就可以实现“AND”的逻辑功能。如下图&#xff0c;当两个与非门的输出全为1时&#xff0c;输出为1&#xff1b;只要其中一个输出为0&#xff0c;则输出为0&#xff0c;所以该电路符合与逻辑功能&#xff0c;即L&#61;(AB)&#39;(CD)&#39;

2d146bc748e34ea8a0348abc7e18c2fe.png

22.Modelsim仿真步骤&#xff1f;

  • vlib->vmap->vlog->vsim->run

23.同步通信和异步通信有什么区别&#xff1f;UART、SPI、I2C、I2S协议对比&#xff1f;

  • 同步通信需要相同频率的时钟&#xff0c;逐字符发送接收&#xff0c;发一个收一个&#xff0c;收一个发一个&#xff0c;不能有间隙。异步通信可以任意间隙&#xff0c;接受端随时准备&#xff0c;发送端任意时刻发送 &#xff0c;需要加停止位和开始位。

  • UART

    (1)两线(TX、RX)(2)异步进行&#xff0c;有标准波特率(3)收发独立&#xff0c;全双工(4)通信双方地位平等(5)无应答机制&#xff0c;可配置奇偶校验位(6)速度较慢(7)数据传输低位在前&#xff0c;高位在后

  • SPI

    (1)四线(SCLK、MOSI、MISO、CS)(2)同步进行(3)收发独立&#xff0c;全双工(4)主从通信机制&#xff0c;由主设备产生SCLK(5)无应答机制(6)速率可达几Mbps(7)数据传输高位在前&#xff0c;低位在后

  • I2C

    (1)两线(SCL、SDA)(2)同步进行(3)同一时间只能由一方发送或接受数据&#xff0c;半双工(4)多主控总线&#xff0c;任何一个设备都能像主控器一样工作&#xff0c;并控制总线(5)具有应答机制(6)传输速率有标准模式(100 kbps)、快速模式(400 kbps)和高速模式(3.4 Mbps)(7)数据传输高位在前&#xff0c;低位在后

  • I2S

    主要用来传输音频信号(1)三线(BIT_CLK、SDATA、WS)&#xff0c;WS用来选择左右声道(2)同步进行(3)半双工(4)主从通信机制&#xff0c;由主设备产生BIT_CLK、SDATA、WS(5)无应答机制(6)(7)数据传输高位在前&#xff0c;低位在后

24.>>和>>>的区别?

  • >>是逻辑右移&#xff0c;左边补0

  • >>>是算术右移&#xff0c;考虑符号位&#xff0c;如果是0&#xff0c;则左边补0&#xff0c;如果是1&#xff0c;则左边补1

25.&#61;&#61;和&#61;&#61;&#61;的区别?

  • 两者都是相等或比较运算符。“&#61;&#61;”检查二值逻辑相等&#xff0c;而“&#61;&#61;&#61;”运算符测试四值逻辑相等。

  • 使用“&#61;&#61;”比较二值逻辑&#xff0c;如果出现X或者Z&#xff0c;则结果为X。

  • 使用“&#61;&#61;&#61;”比较四值逻辑&#xff0c;如果出现X或Z&#xff0c;则结果为0或1&#xff0c;能够正确的进行比较。

26.奇偶检验?

  • 奇偶校验位是在一串二进制码的最后添加的一位&#xff0c;它使得整个二进制串的1的个数为奇数或者偶数。因此奇偶校验分为两种&#xff0c;奇校验和偶校验。

  • 计算校验位需要对二进制码中的1进行计数。如果1的数量为奇数&#xff0c;并且使用偶校验&#xff0c;则校验位为1&#xff0c;使得整体1的个数为偶数。如果1的数量为偶数&#xff0c;并且使用偶校验&#xff0c;则校验位为0&#xff0c;使得整体1的个数为偶数。奇校验类似。奇偶校验位可以通过对所有的比特位进行异或得到。

27.写一段代码&#xff0c;用半加器组成全加器?

module half_adder(input_0, input_1, sum, carry);input input_0, input_1;output sum, carry;assign sum &#61; (input_0)^(input_1);assign carry &#61; (input_0)&(input_1);endmodulemodule full_adder(input_0, input_1, input_2, sum, carry);input input_0, input_1, input_2;output sum, carry;reg sum_intermediate, carry_intermediate_0, carry_intermediate_1;half_adder ha1(input0,input1,sum_intermediate,carry_intermediate_0);half_adder ha2(sum_intermediate,input2,sum,carry_intermediate_1);       assign carry &#61; (carry_intermediate_0)|(carry_intermediate_1);endmodule

28.FPGA的基本结构&#xff1f;

FPGA由6部分构成&#xff0c;分别为可编程输入/输出单元、基本可编程逻辑单元、丰富的布线资源、嵌入式块RAM、底层嵌入功能单元、内嵌专用硬核

  • 可编程输入/输出单元&#xff1a;可通过配置适应不同的电器标准和I/O物理特性&#xff1b;可调整阻抗特性、输出驱动电流等。

  • 基本可编程逻辑单元&#xff1a;由LUT和寄存器构成&#xff0c;通过LUT来实现组合逻辑

  • 丰富的布线资源&#xff1a;包括全局性的专用布线资源、长线资源、短线资源等&#xff1b;

  • 嵌入式块RAM&#xff1a;可配置为单端口RAM、双端口RAM、CAM、FIFO等存储结构

  • 底层嵌入式功能单元&#xff1a;指通用程度较高的嵌入式功能块&#xff0c;如PLL、DSP等&#xff1b;

  • 内嵌专用硬核&#xff1a;通用性较弱的硬核&#xff0c;并不是每个FPGA都有的

29.模块划分的依据以及注意事项&#xff1f;

划分依据&#xff1a;

  • 按照逻辑功能划分&#xff1a;如IO、Memory、PLL、CORE、JTAG等&#xff0c;CORE中继续按照功能划分&#xff0c;这样做的好处&#xff0c;一个是可以很好的复用资源&#xff0c;另一个是更利于综合工具优化时序

  • 按照设计大小和规模划分&#xff1a;模块大小适中&#xff0c;设计大小一般设为一晚上的运行时间&#xff0c;白天人工处理和处理结果。模块太大&#xff0c;对综合工具和计算机配置要求高&#xff0c;模块太小&#xff0c;会造成层次过多&#xff0c;不利于综合工具优化

  • 按照时钟域划分&#xff1a;除了跨时钟域同步模块&#xff0c;其余模块每个仅有一个时钟&#xff0c;有利于时序约束和综合工具优化

注意事项&#xff1a;

  • 顶层模块应只是各个子模块的连接&#xff0c;不能有胶合逻辑

  • 寄存模块的输出

  • Pad与core分离&#xff0c;I/O、三态都应在顶层描述

  • 结构层次不能太多

30.高频设计中&#xff0c;可以采用哪些手段提供系统工作频率&#xff1f;

  • 流水线

  • 寄存器配平

  • 关键路径优化

  • 串转并(如RCA改成超前进位加法器)

  • 树形结构

  • 迟滞信号后移

  • DC逻辑优化中的flatten

  • 采用先进工艺

31.如何提升设计的性能&#xff1f;

性能可以由带宽、吞吐率、时延来评估

  • 空间并行&#xff1a;面积换性能(更宽的总线等等)、DC逻辑优化中的flatten(将复杂的逻辑运算转换成积之和的形式)

  • 时间并行&#xff1a;流水线(充分调度资源&#xff0c;使得尽可能少的处于闲置状态)、行波进位加法器改成超前进位加法器

  • 算法和硬件的优化&#xff0c;硬件优化比如工艺、关键路径优化

32.面积优化方法&#xff1f;

  • 资源共享

  • 串行化

  • 后端&#xff1a;对正slack的路径&#xff0c;移除buffer或者downsize

33.If-else和case综合出的电路区别&#xff1f;

  • if-else&#xff0c;assign a &#61; c? b : d这种写法会综合出MUX

  • case&#xff0c;assign a &#61; c&b | ~c&d这种写法会综合出AOI




推荐阅读
  • 基于Socket的多个客户端之间的聊天功能实现方法
    本文介绍了基于Socket的多个客户端之间实现聊天功能的方法,包括服务器端的实现和客户端的实现。服务器端通过每个用户的输出流向特定用户发送消息,而客户端通过输入流接收消息。同时,还介绍了相关的实体类和Socket的基本概念。 ... [详细]
  • 本文介绍了使用Spark实现低配版高斯朴素贝叶斯模型的原因和原理。随着数据量的增大,单机上运行高斯朴素贝叶斯模型会变得很慢,因此考虑使用Spark来加速运行。然而,Spark的MLlib并没有实现高斯朴素贝叶斯模型,因此需要自己动手实现。文章还介绍了朴素贝叶斯的原理和公式,并对具有多个特征和类别的模型进行了讨论。最后,作者总结了实现低配版高斯朴素贝叶斯模型的步骤。 ... [详细]
  • 上图是InnoDB存储引擎的结构。1、缓冲池InnoDB存储引擎是基于磁盘存储的,并将其中的记录按照页的方式进行管理。因此可以看作是基于磁盘的数据库系统。在数据库系统中,由于CPU速度 ... [详细]
  • 本文介绍了九度OnlineJudge中的1002题目“Grading”的解决方法。该题目要求设计一个公平的评分过程,将每个考题分配给3个独立的专家,如果他们的评分不一致,则需要请一位裁判做出最终决定。文章详细描述了评分规则,并给出了解决该问题的程序。 ... [详细]
  • 本文主要解析了Open judge C16H问题中涉及到的Magical Balls的快速幂和逆元算法,并给出了问题的解析和解决方法。详细介绍了问题的背景和规则,并给出了相应的算法解析和实现步骤。通过本文的解析,读者可以更好地理解和解决Open judge C16H问题中的Magical Balls部分。 ... [详细]
  • JVM 学习总结(三)——对象存活判定算法的两种实现
    本文介绍了垃圾收集器在回收堆内存前确定对象存活的两种算法:引用计数算法和可达性分析算法。引用计数算法通过计数器判定对象是否存活,虽然简单高效,但无法解决循环引用的问题;可达性分析算法通过判断对象是否可达来确定存活对象,是主流的Java虚拟机内存管理算法。 ... [详细]
  • 不同优化算法的比较分析及实验验证
    本文介绍了神经网络优化中常用的优化方法,包括学习率调整和梯度估计修正,并通过实验验证了不同优化算法的效果。实验结果表明,Adam算法在综合考虑学习率调整和梯度估计修正方面表现较好。该研究对于优化神经网络的训练过程具有指导意义。 ... [详细]
  • 个人学习使用:谨慎参考1Client类importcom.thoughtworks.gauge.Step;importcom.thoughtworks.gauge.T ... [详细]
  • [大整数乘法] java代码实现
    本文介绍了使用java代码实现大整数乘法的过程,同时也涉及到大整数加法和大整数减法的计算方法。通过分治算法来提高计算效率,并对算法的时间复杂度进行了研究。详细代码实现请参考文章链接。 ... [详细]
  • 本文介绍了南邮ctf-web的writeup,包括签到题和md5 collision。在CTF比赛和渗透测试中,可以通过查看源代码、代码注释、页面隐藏元素、超链接和HTTP响应头部来寻找flag或提示信息。利用PHP弱类型,可以发现md5('QNKCDZO')='0e830400451993494058024219903391'和md5('240610708')='0e462097431906509019562988736854'。 ... [详细]
  • 3.223.28周学习总结中的贪心作业收获及困惑
    本文是对3.223.28周学习总结中的贪心作业进行总结,作者在解题过程中参考了他人的代码,但前提是要先理解题目并有解题思路。作者分享了自己在贪心作业中的收获,同时提到了一道让他困惑的题目,即input details部分引发的疑惑。 ... [详细]
  • 本文介绍了Swing组件的用法,重点讲解了图标接口的定义和创建方法。图标接口用来将图标与各种组件相关联,可以是简单的绘画或使用磁盘上的GIF格式图像。文章详细介绍了图标接口的属性和绘制方法,并给出了一个菱形图标的实现示例。该示例可以配置图标的尺寸、颜色和填充状态。 ... [详细]
  • Android工程师面试准备及设计模式使用场景
    本文介绍了Android工程师面试准备的经验,包括面试流程和重点准备内容。同时,还介绍了建造者模式的使用场景,以及在Android开发中的具体应用。 ... [详细]
  • 使用圣杯布局模式实现网站首页的内容布局
    本文介绍了使用圣杯布局模式实现网站首页的内容布局的方法,包括HTML部分代码和实例。同时还提供了公司新闻、最新产品、关于我们、联系我们等页面的布局示例。商品展示区包括了车里子和农家生态土鸡蛋等产品的价格信息。 ... [详细]
  • 超级简单加解密工具的方案和功能
    本文介绍了一个超级简单的加解密工具的方案和功能。该工具可以读取文件头,并根据特定长度进行加密,加密后将加密部分写入源文件。同时,该工具也支持解密操作。加密和解密过程是可逆的。本文还提到了一些相关的功能和使用方法,并给出了Python代码示例。 ... [详细]
author-avatar
海螺里的秘密_471
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有