热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

83优先编码器

首先,实现可以参考这篇博客https:www.cnblogs.comyllinuxp7065331.html我的代码跟网上的是一样的,师兄给出了其他写法,有空再补。moduleco

首先,实现可以参考这篇博客

https://www.cnblogs.com/yllinux/p/7065331.html

我的代码跟网上的是一样的,师兄给出了其他写法,有空再补。


module coder_83(
input [
7:0] data_in,
input en_in_n,
output reg [
2:0] code_out,
output reg en_out,
output reg state
);
always@(en_in_n or data_in) begin
if(en_in_n) begin
code_out
<= 3b111;
en_out <= 1;
state
<= 1;
end
else if (data_in[7] == 0) begin
code_out
<= 3b000;
en_out <= 1;
state
<= 0;
end
else if (data_in[6] == 0) begin
code_out
<= 3b001;
en_out <= 1;
state
<= 0;
end
else if (data_in[5] == 0) begin
code_out
<= 3b010;
en_out <= 1;
state
<= 0;
end
else if (data_in[4] == 0) begin
code_out
<= 3b011;
en_out <= 1;
state
<= 0;
end
else if (data_in[3] == 0) begin
code_out
<= 3b100;
en_out <= 1;
state
<= 0;
end
else if (data_in[2] == 0) begin
code_out
<= 3b101;
en_out <= 1;
state
<= 0;
end
else if (data_in[1] == 0) begin
code_out
<= 3b110;
en_out <= 1;
state
<= 0;
end
else if (data_in[0] == 0) begin
code_out
<= 3b111;
en_out <= 1;
state
<= 0;
end
else if (data_in == 8b11111111) begin
code_out <= 3b111;
en_out <= 0;
state
<= 1;
end
else begin // in case of genetaring latch, while many examples ignore this step
code_out <= 3b111;
en_out <= 1;
state
<= 1;
end
end
endmodule

以及testbench


`timescale 1ns/1ps
module coder_83_tb();
reg [
7:0] data_in;
reg en_in_n, clk;
wire en_out;
wire state;
wire [
2:0] code_out;

coder_83 u_coder_83(
.data_in(data_in),
.en_in_n(en_in_n),
.en_out(en_out),
.state(state),
.code_out(code_out)
);
always #
20 clk = ~clk;
always@(posedge clk) begin
if(!data_in)
data_in
<= 8b11111111;
else
data_in
<= data_in <<1;
end
initial begin
clk
= 0;
data_in
= 8b11111111;
en_in_n = 1;

#
100 en_in_n = 0;
#
5000 en_in_n = 1;
end
endmodule

但是vivado综合的时候就很奇怪,出现了一个ROM。

技术图片

很难解释为什么会出现这个东西……但功能仿真都是正常的。

8-3优先编码器



推荐阅读
  • 本文介绍了lua语言中闭包的特性及其在模式匹配、日期处理、编译和模块化等方面的应用。lua中的闭包是严格遵循词法定界的第一类值,函数可以作为变量自由传递,也可以作为参数传递给其他函数。这些特性使得lua语言具有极大的灵活性,为程序开发带来了便利。 ... [详细]
  • 本文介绍了使用Java实现大数乘法的分治算法,包括输入数据的处理、普通大数乘法的结果和Karatsuba大数乘法的结果。通过改变long类型可以适应不同范围的大数乘法计算。 ... [详细]
  • Java验证码——kaptcha的使用配置及样式
    本文介绍了如何使用kaptcha库来实现Java验证码的配置和样式设置,包括pom.xml的依赖配置和web.xml中servlet的配置。 ... [详细]
  • 本文介绍了指针的概念以及在函数调用时使用指针作为参数的情况。指针存放的是变量的地址,通过指针可以修改指针所指的变量的值。然而,如果想要修改指针的指向,就需要使用指针的引用。文章还通过一个简单的示例代码解释了指针的引用的使用方法,并思考了在修改指针的指向后,取指针的输出结果。 ... [详细]
  • 本文内容为asp.net微信公众平台开发的目录汇总,包括数据库设计、多层架构框架搭建和入口实现、微信消息封装及反射赋值、关注事件、用户记录、回复文本消息、图文消息、服务搭建(接入)、自定义菜单等。同时提供了示例代码和相关的后台管理功能。内容涵盖了多个方面,适合综合运用。 ... [详细]
  • 基于layUI的图片上传前预览功能的2种实现方式
    本文介绍了基于layUI的图片上传前预览功能的两种实现方式:一种是使用blob+FileReader,另一种是使用layUI自带的参数。通过选择文件后点击文件名,在页面中间弹窗内预览图片。其中,layUI自带的参数实现了图片预览功能。该功能依赖于layUI的上传模块,并使用了blob和FileReader来读取本地文件并获取图像的base64编码。点击文件名时会执行See()函数。摘要长度为169字。 ... [详细]
  • HDU 2372 El Dorado(DP)的最长上升子序列长度求解方法
    本文介绍了解决HDU 2372 El Dorado问题的一种动态规划方法,通过循环k的方式求解最长上升子序列的长度。具体实现过程包括初始化dp数组、读取数列、计算最长上升子序列长度等步骤。 ... [详细]
  • 本文讨论了Alink回归预测的不完善问题,指出目前主要针对Python做案例,对其他语言支持不足。同时介绍了pom.xml文件的基本结构和使用方法,以及Maven的相关知识。最后,对Alink回归预测的未来发展提出了期待。 ... [详细]
  • 本文讨论了如何优化解决hdu 1003 java题目的动态规划方法,通过分析加法规则和最大和的性质,提出了一种优化的思路。具体方法是,当从1加到n为负时,即sum(1,n)sum(n,s),可以继续加法计算。同时,还考虑了两种特殊情况:都是负数的情况和有0的情况。最后,通过使用Scanner类来获取输入数据。 ... [详细]
  • 本文介绍了C#中数据集DataSet对象的使用及相关方法详解,包括DataSet对象的概述、与数据关系对象的互联、Rows集合和Columns集合的组成,以及DataSet对象常用的方法之一——Merge方法的使用。通过本文的阅读,读者可以了解到DataSet对象在C#中的重要性和使用方法。 ... [详细]
  • 本文介绍了OC学习笔记中的@property和@synthesize,包括属性的定义和合成的使用方法。通过示例代码详细讲解了@property和@synthesize的作用和用法。 ... [详细]
  • 知识图谱——机器大脑中的知识库
    本文介绍了知识图谱在机器大脑中的应用,以及搜索引擎在知识图谱方面的发展。以谷歌知识图谱为例,说明了知识图谱的智能化特点。通过搜索引擎用户可以获取更加智能化的答案,如搜索关键词"Marie Curie",会得到居里夫人的详细信息以及与之相关的历史人物。知识图谱的出现引起了搜索引擎行业的变革,不仅美国的微软必应,中国的百度、搜狗等搜索引擎公司也纷纷推出了自己的知识图谱。 ... [详细]
  • 1,关于死锁的理解死锁,我们可以简单的理解为是两个线程同时使用同一资源,两个线程又得不到相应的资源而造成永无相互等待的情况。 2,模拟死锁背景介绍:我们创建一个朋友 ... [详细]
  • CentOS 7部署KVM虚拟化环境之一架构介绍
    本文介绍了CentOS 7部署KVM虚拟化环境的架构,详细解释了虚拟化技术的概念和原理,包括全虚拟化和半虚拟化。同时介绍了虚拟机的概念和虚拟化软件的作用。 ... [详细]
  • 本文介绍了一种解析GRE报文长度的方法,通过分析GRE报文头中的标志位来计算报文长度。具体实现步骤包括获取GRE报文头指针、提取标志位、计算报文长度等。该方法可以帮助用户准确地获取GRE报文的长度信息。 ... [详细]
author-avatar
夜凄凉2502887267
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有