热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

quartus管脚分配后需要保存吗_嵌入式必须会的一些硬件面试题,要试一试吗?你过来呀!...

1、下面是一些基本的数字电路知识问题,请简要回答之。(1)什么是Setup和Hold时间?答:SetupHoldTime用于测试芯片对输入
1、下面是一些基本的数字电路知识问题&#xff0c;请简要回答之。(1) 什么是 Setup和 Hold 时间&#xff1f;答&#xff1a;Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间 (Setup  Time)是指触发器的时钟信号上升沿到来以前&#xff0c;数据能够保持稳 定不变的时间。输入数据信号应提前时钟上升沿 (如上升沿有效)T 时间到达芯片&#xff0c;这个 T就是建立时间通常所说的 SetupTime。如不满足 Setup Time&#xff0c;这个数据就不能被这一时钟打入触发器&#xff0c;只有在下一个时钟上升沿到来时&#xff0c;数据才能被打入 触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后&#xff0c;数据保持稳定不变的时间。如果 Hold Time 不够&#xff0c;数据同样不能被打入触发器。(2) 什么是竞争与冒险现象&#xff1f;怎样判断&#xff1f;如何消除&#xff1f;答&#xff1a;在组合逻辑电路中&#xff0c;由于门电路的输入信号经过的通路不尽相同&#xff0c;所产生的延时也就会不同&#xff0c;从而导致到达该门的时间不一致&#xff0c;我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法&#xff1a;一是添加布尔式的消去项&#xff0c;二是在芯片外部加电容。(3) 请画出用 D 触发器实现 2 倍分频的逻辑电路答&#xff1a;f6793591b92a3dbd595955413a740c8a.png(4) 什么是"线与 "逻辑&#xff0c;要实现它&#xff0c;在硬件特性上有什么具体要求&#xff1f;答&#xff1a;线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上&#xff0c;要用 OC 门来实现( 漏极或者集电极开路 )&#xff0c;为了防止因灌电流过大而烧坏 OC 门, 应在 OC 门输出端接一上拉电阻 (线或则是下拉电阻)。(5) 什么是同步逻辑和异步逻辑&#xff1f;同步电路与异步电路有何区别&#xff1f;答&#xff1a; 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系 .电路设计可分类为同步电路设计和异步电路设计。 同步电路利用时钟脉冲使其子系统同步运作 &#xff0c;而异步电路不使用时钟脉冲做同步&#xff0c;其子系统是使用特殊的 “开始”和“完成”信号使之同步。异步电路具有下列优点&#xff1a;无时钟歪斜问题、 低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。(6) 你知道那些常用逻辑电平&#xff1f; TTL 与 COMS 电平可以直接互连吗&#xff1f;答&#xff1a;常用的电平标准&#xff0c;低速的有 RS232、RS485 、RS422、 TTL、CMOS 、LVTTL、 LVCMOS、ECL 、ECL、 LVPECL 等&#xff0c;高速的有 LVDS、 GTL、PGTL 、 CML、 HSTL、SSTL 等。一般说来&#xff0c; CMOS 电平比 TTL 电平有着更高的噪声容限。 如果不考虑速度 和性能&#xff0c;一般 TTL 与 CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常&#xff0c;因为有些 TTL 电路需要下一级的输入阻抗作为负载才能 正常工作。(7) 请画出微机接口电路中&#xff0c;典型的输入设备与微机接口逻辑示意图 (数据接口、控制接口、锁存器 /缓冲器)典型输入设备与微机接口的逻辑示意图如下&#xff1a;4cdd26e0ced7e0c7d8b766eca38bfcbc.png2、你所知道的可编程逻辑器件有哪些&#xff1f;答&#xff1a;ROM(只读存储器)、 PLA(可编程逻辑阵列)、 FPLA(现场可编程逻辑阵列)、 PAL(可编程阵列逻辑)GAL(通用阵列逻辑 )&#xff0c;EPLD( 可擦除的可编程逻辑器件 )、 FPGA( 现场可编程门阵列 )、CPLD( 复杂可编程逻辑器件 )等 &#xff0c;其中 ROM、 FPLA、 PAL 、GAL、 EPLD 是出现较早的可编程逻辑器件&#xff0c; 而 FPGA 和 CPLD 是当今最流行的两类可编程逻辑器件。FPGA 是基于查找表结构的&#xff0c;而 CPLD 是基于乘积项结构的。3、请简述用 EDA 软件 (如 PROTEL)进行设计 (包括原理图和PCB图) 到调试出样机的整个过程&#xff0c;在各环节应注意哪些问题&#xff1f;答&#xff1a;完成一个电子电路设计方案的整个过程大致可分&#xff1a; (1)原理图设计 (2)PCB 设计 (3)投板 (4)元器件焊接 (5)模块化调试 (6)整机调试 。注意问题如下&#xff1a;(1)原理图设计阶段注意适当加入旁路电容与去耦电容&#xff1b;注意适当加入测试点和 0 欧电阻以方便调试时测试用&#xff1b;注意适当加入 0 欧电阻、电感和磁珠(专用于抑制 信号线、电源线上的高频噪声和尖峰干扰)以实现抗干扰和阻抗匹配&#xff1b;(2)PCB 设计阶段自己设计的元器件封装要特别注意以防止板打出来后元器件无法焊接&#xff1b;FM部分走线要尽量短而粗&#xff0c;电源和地线也要尽可能粗&#xff1b;旁路电容、晶振要尽量靠近芯片对应管脚&#xff1b;注意美观与使用方便&#xff1b;(3)投板说明自己需要的工艺以及对制板的要求&#xff1b;(4)元器件焊接防止出现芯片焊错位置&#xff0c;管脚不对应&#xff1b;防止出现虚焊、漏焊、搭焊等&#xff1b;(5)模块化调试先调试电源模块&#xff0c;然后调试控制模块&#xff0c;然后再调试其它模块&#xff1b;上电时动作要迅速&#xff0c;发现不会出现短路时在彻底接通电源&#xff1b;调试一个模块时适当隔离其它模块 &#xff1b;各模块的技术指标一定要大于客户的要求&#xff1b;(6)整机调试如提高灵敏度等问题4、基尔霍夫定理KCL&#xff1a;电路中的任意节点&#xff0c;任意时刻流入该节点的电流等于流出该节点的电流( KVL同理)5、描述反馈电路的概念&#xff0c;列举他们的应用反馈是将放大器输出信号 (电压或电流)的一部分或全部&#xff0c;回收到放大器输入端与输入信号进行比较 (相加或相减)&#xff0c;并用比较所得的有效输入信号去控制输出&#xff0c;负反馈可以用来稳定输出信号或者增益&#xff0c;也可以扩展通频带&#xff0c;特别适合于自动控制系统。正反馈可以形成振荡&#xff0c;适合振荡电路和波形发生电路。6、负反馈种类及其优点电压并联反馈&#xff0c;电流串联反馈&#xff0c;电压串联反馈和电流并联反馈降低放大器的增益灵敏度&#xff0c;改变输入电阻和输出电阻&#xff0c;改善放大器的线性和非线性失真&#xff0c;有效地扩展&#xff0c;放大器的通频带&#xff0c;自动调节作用7、放大电路的频率补偿的目的是什么&#xff0c;有哪些方法频率补偿 是为了改变频率特性&#xff0c;减小时钟和相位差&#xff0c;使输入输出频率同步相位补偿 通常是改善稳定裕度&#xff0c;相位补偿与频率补偿的目标有时是矛盾的不同的电路或者说不同的元器件对不同频率的放大倍数是不相同的&#xff0c;如果输入信号不是单一频率&#xff0c;就会造成 高频放大的倍数大&#xff0c;低频放大的倍数小 &#xff0c;结果输出的波形就产生了失真放大电路中频率补偿的目的 &#xff1a;一是改善放大电路的高频特性&#xff0c;二是克服由于引入负反馈而可能出现自激振荡现象&#xff0c;使放大器能够稳定工作。在放大电路中&#xff0c;由于 晶体管结电容的存在常常会使放大电路频率响应的高频段不理想 &#xff0c;为了解决这一问题&#xff0c;常用的方法就是在电路中引入负反馈。然后&#xff0c;负反馈的引入又引入了新的问题&#xff0c;那就是负反馈电路会出现自激振荡现象&#xff0c;所以为了使放大电路能够正常稳定工作&#xff0c;必须对放大电路进行频率补偿。频率补偿的方法可以分为 超前补偿和滞后补偿 &#xff0c;主要是通过接入一些阻容元件来改变放大电路的开环增益在高频段的相频特性&#xff0c;目前使用最多的就是锁相环8、有源滤波器和无源滤波器的区别无源滤波器&#xff1a;这种电路主要有无源元件 R、L 和 C 组成&#xff1b;有源滤波器&#xff1a;集成运放和 R、C 组成&#xff0c;具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高&#xff0c;输出电阻小&#xff0c;构成有源滤波电路后还具有一定的电压放大和缓冲作用。但 集成运放带宽有限 &#xff0c;所以目前的有源滤波电路的工作频率难以做得很高。9、名词解释&#xff1a;SRAM、SSRAM 、SDRAM、压控振荡器 (VCO)     SRAM&#xff1a;静态 RAM &#xff1b;DRAM&#xff1a;动态 RAM&#xff1b;SSRAM &#xff1a;Synchronous Static Random  Access  Memory  同步静态随机访问存储器&#xff0c;它的一种类型的 SRAM。SSRAM 的所有访问都在时钟的上升 /下降沿启动。地址、数据输入和其它控制信号均与时钟信号相关。这一点与异步 SRAM 不同&#xff0c;异步 SRAM 的访问独立于时 钟&#xff0c;数据输入和输出都由地址的变化控制。SDRAM&#xff1a;Synchronous DRAM 同步动态随机存储器。10、名词解释&#xff1a;IRQ、BIOS 、USB、VHDL 、SDR。(1) IRQ&#xff1a;中断请求(2)BIOS&#xff1a;BIOS 是英文"Basic Input Output System"的缩略语&#xff0c;直译过来后中 文名称就是"基本输入输出系统 "。其实&#xff0c;它是一组固化到计算机内主板上一个 ROM 芯片上的程序&#xff0c;它保存着计算机最重要的基本输入输出的程序、系统设置 信息、开机后自检程序和系统自启动程序。 其主要功能是为计算机提供最底层的、 最直接的硬件设置和控制。(3) USB&#xff1a;USB &#xff0c;是英文 Universal Serial BUS(通用串行总线)的缩写&#xff0c;而其 中文简称为“通串线&#xff0c;是一个外部总线标准&#xff0c;用于规范电脑与外部设备的连接和通讯。(4) VHDL&#xff1a;VHDL 的英文全写是&#xff1a;VHSIC(Very High Speed Integrated Circuit ) Hardware  Description Language.翻译成中文就是超高速集成电路硬件描述语言。 主要用于描述数字系统的结构、行为、功能和接口。(5) SDR&#xff1a;软件无线电&#xff0c;一种无线电广播通信技术&#xff0c;它基于软件定义的无线通信协议而非通过硬连线实现。换言之&#xff0c;频带、空中接口协议和功能可通过软件 下载和更新来升级&#xff0c;而不用完全更换硬件。SDR针对构建多模式、多频和多功 能无线通信设备的问题提供有效而安全的解决方案。11、单片机上电后没有运转&#xff0c;首先要检查什么首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压&#xff0c;看是否是电源电压&#xff0c;例如常用的 5V。接下来就是检查复位引脚电压 是否正常。分别测量按下复位按钮和放开复位按钮的电压值&#xff0c;看是否正确。然后再检查晶振是否起振了&#xff0c;一般用示波器来看晶振引脚的波形&#xff0c;注意应该使用示波器探头的“ X10”档。另一个办法是测量复位状态下的 IO 口电平&#xff0c;按住复位键不放&#xff0c;然后测量IO 口( 没接外部上拉的 P0 口除外) 的电压&#xff0c;看是否是高电平&#xff0c;如果不是高电平&#xff0c;则多半是因为晶振没有起振。另外还要注意的地方是&#xff0c;如果使用片内 ROM 的话( 大部分情况下如此&#xff0c;现在 已经很少有用外部扩 ROM 的了 )&#xff0c;一定要将 EA 引脚拉高&#xff0c;否则会出现程序乱跑的情况。如果系统不稳定的话&#xff0c;有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个 0.1uF 的电容会有所改善。如果电源没有滤波电容的话&#xff0c; 则需要再接一个更大滤波电容&#xff0c;例如 220uF 的。遇到系统不稳定时&#xff0c;就可以并上电容试试 (越靠近芯片越好)。12、最基本的三极管曲线特性答&#xff1a;三极管的曲线特性即指三极管的伏安特性曲线&#xff0c;包括输入特性曲线和输 出特性曲线。输入特性是指三极管输入回路中&#xff0c;加在基极和发射极的电压 VBE 与 由它所产生的基极电流 I B  之间的关系。输出特性通常是指在一定的基极电流 I B控制下&#xff0c;三极管的集电极与发射极之间的电压 VCE 同集电极电流 IC 的关系dcfe353108470ba82de6a0d630231655.png图(1) 典型输入特性曲线4bacb03435885ccd32bb174b37cfc7a4.png图(2) 典型输出特性曲线314fa4a05f20b1ad6b983b7b60245660.png图(3) 直、交流负载线&#xff0c;功耗线13、什么是频率响应&#xff0c;怎么才算是稳定的频率响应&#xff0c;简述改变频率响应曲线的几个方法答&#xff1a;这里仅对放大电路的频率响应进行说明。 在放大电路中&#xff0c;由于电抗元件 (如电容、电感线圈等)及晶体管极间电容的存在&#xff0c;当输入信号的频率过低或过高时&#xff0c;放大电路的放大倍数的数值均会降低&#xff0c;而且还将产生相位超前或之后现象。也就是说&#xff0c;放大电路的放大倍数 (或者称为增 益 )和输入信号频率是一种函数关系&#xff0c;我们就把这种函数关系成为放大电路的频 率响应或频率特性。放大电路的频率响应可以用幅频特性曲线和相频特性曲线来描述&#xff0c;如果一个 放大电路的幅频特性曲线是一条平行于 x 轴的直线( 或在关心的频率范围内平行 于 x 轴 )&#xff0c;而相频特性曲线是一条通过原点的直线 (或在关心的频率范围是条通过 原点的直线)&#xff0c;那么该频率响应就是稳定的改变频率响应的方法主要有&#xff1a; (1) 改变放大电路的元器件参数&#xff1b; (2) 引入新的 元器件来改善现有放大电路的频率响应&#xff1b; (3) 在原有放大电路上串联新的放大电 路构成多级放大电路。14、给出一个差分运放&#xff0c;如何进行相位补偿&#xff0c;并画补偿后的波特图答&#xff1a;随着工作频率的升高&#xff0c;放大器会产生附加相移&#xff0c;可能使负反馈变成正反馈而引起自激。进行相位补偿可以消除高频自激。相位补偿的原理是&#xff1a;在具有高放大倍数的中间级&#xff0c;利用一小电容 C(几十&#xff5e;几百微微法)构成电压并联负反馈 电路。可以使用电容校正、 RC 校正分别对相频特性和幅频特性进行修改。波特图就是在画放大电路的频率特性曲线时使用对数坐标。波特图由对数幅 频特性和对数相频特性两部分组成&#xff0c;它们的横轴采用对数刻度 lg f &#xff0c;幅频特性的纵轴采用 lg |Au|表示&#xff0c;单位为 dB&#xff1b;相频特性的纵轴仍用φ表示。11fdc6bb0b50a16d668f99aba544a0de.png15、基本放大电路的种类及优缺点&#xff0c;广泛采用差分结构的原因基本放大电路按其接法分为共基、共射、共集放大电路。共射放大电路既能放大电流又能放大电压&#xff0c;输入电阻在三种电路中居中&#xff0c;输出电阻较大&#xff0c;频带较窄共基放大电路只能放大电压不能放大电流&#xff0c;输入电阻小&#xff0c;电压放大倍数和输出电阻与共射放大电路相当&#xff0c;频率特性是三种接法中最好的电路。常用于宽频带 放大电路。共集放大电路只能放大电流不能放大电压&#xff0c;是三种接法中输入电阻最大、输 出电阻最小的电路&#xff0c;并具有电压跟随的特点。常用于电压大电路的输入级和输 出级&#xff0c;在功率放大电路中也常采用射极输出的形式。广泛采用差分结构的原因是差分结构可以抑制温度漂移现象。16、给出一差分电路&#xff0c;已知其输出电压 Y&#43;和 Y-&#xff0c;求共模分量和差模分量设共模分量是 Yc&#xff0c;差模分量是 Yd&#xff0c;则可知其输Y&#43;&#61;Yc&#43;Yd   Y-&#61;Yc-Yd   可得  Yc&#61;(Y&#43; &#43; Y-)/2  Yd&#61;(Y&#43; - Y-)/217、画出一个晶体管级的运放电路 ,说明原理下图 (a)给出了单极性集成运放 C14573 的电路原理图&#xff0c;图 (b)为其放大电路部分&#xff1a;5ef089633ef27b4efa5fcf41a91c93ee.png图(a) C14573 电路原理图                       图(b) C14573 的放大电路部分图(a)中 T1&#xff0c;T2 和T7管构成多路电流源&#xff0c;为放大电路提供静态偏置电流&#xff0c; 把偏置电路简化后&#xff0c;就可得到图 (b)所示的放大电路部分。第一级是以 P 沟道管T3 和T4为放大管、以 N 沟道管T5 和T6管构成的电 流源为有源负载&#xff0c;采用共源形式的双端输入、单端输出差分放大电路。由于第二 级电路从T8 的栅极输入&#xff0c;其输入电阻非常大&#xff0c;所以使第一级具有很强的电压放大能力。第二级是共源放大电路&#xff0c;以 N沟道管T8 为放大管&#xff0c;漏极带有源负载&#xff0c;因此也具有很强的电压放大能力。但其输出电阻很大&#xff0c;因而带负载能力较差。电容 C起相位补偿作用。18、电阻R和电容 C串联&#xff0c;输入电压为R和C 之间的电压&#xff0c;输出电压分别为 C上电压和R上电压&#xff0c;求这两种电路输出电压的频谱&#xff0c;判断这两种电路何为高通滤波器&#xff0c;何为低通滤波器。当 RC< 时&#xff0c;给出输入电压波形图&#xff0c;绘制两种电路 的输出波形图。答&#xff1a;当输出电压为 C上电压时&#xff1a;电路的频率响应为0b609f771ecdde493ecdd10a01aa736f.png从电路的频率响应不难看出输出电压加在 C上的为低通滤波器&#xff0c;输出电压加在 R上的为高通滤波器&#xff0c;RC<19、选择电阻时要考虑什么&#xff1f;主要考虑电阻的封装、功率、精度、阻值和耐压值等。20、在CMOS电路中&#xff0c;要有一个单管作为开关管精确传递模拟低电平&#xff0c;这个单管你会用 P管还是N管&#xff0c;为什么&#xff1f;答&#xff1a;用 N 管。N 管传递低电平&#xff0c; P 管传递高电平。N 管的阈值电压为正&#xff0c; P 管的阈值电压为负。在 N 管栅极加 VDD&#xff0c;在漏极加VDD&#xff0c;那么源级的输出电压范围为 0到VDD-Vth &#xff0c;因为 N 管的导通条件是 Vgs>Vth&#xff0c;当输出到达 VDD-Vth 时管子已经关断了。所以当栅压为 VDD时&#xff0c;源级的最高输出电压只能为 VDD-Vth。这叫阈值损失。N 管的输出要比栅压损失一个阈值电压。因此不宜用 N 管传输高电平。P 管的输出也会比栅压损失一个阈值。同理栅压为 0时&#xff0c;P 管 源级的输出电压范围为 VDD到&#xff5c;Vth &#xff5c;&#xff0c;因此不宜用 P管传递低电平。21、画电流偏置的产生电路&#xff0c;并解释。基本的偏置电流产生电路包括镜像电流源、比例电流源和微电流源三种。下面以镜像电流源电路为例进行说明&#xff1a;82590f9e4de41cb80511db5db53b8b13.png 62fd4b806aee11518d3a2c31c60912b9.png22、画出施密特电路&#xff0c;求回差电压。答&#xff1a;下图是用 CMOS 反相器构成的施密特电路&#xff1a;9af62c37370dad4514dc9c6a0180e44a.pnge32c0e22b943d3a18c415dd91f5e3b8d.pngb9d456414fec4cffe5b35577f3dc675b.png因此回差电压为&#xff1a; 55e02e4e347da09c9f3704260c0b339b.png23、LC 正弦波振荡器有哪几种三点式振荡电路&#xff0c;分别画出其原理图。答&#xff1a;主要有两种基本类型&#xff1a;电容三点式电路和电感三点式电路。下图中 (a)和(b) 分别给出了其原理电路及其等效电路93f1c731c997ca61539ad1dbdb9afac9.png(a) 电容三点式振荡电路3c42d8438649782573f28a591a7d0d24.png(b) 电感三点式振荡电路24、DAC 和 ADC 的实现各有哪些方法&#xff1f;实现 DAC 转换的方法有&#xff1a;权电阻网络 D/A 转换&#xff0c;倒梯形网络 D/A 转换&#xff0c; 权电流网络 D/A 转换、权电容网络 D/A 转换以及开关树形 D/A 转换等。实现 ADC 转换的方法有&#xff1a;并联比较型 A/D 转换&#xff0c;反馈比较型 A/D 转换&#xff0c;双 积分型 A/D 转换和 V-F 变换型 A/D 转换。25、A/D 电路组成、工作原理A/D 电路由取样、量化和编码三部分组成&#xff0c;由于模拟信号在时间上是连续信 号而数字信号在时间上是离散信号&#xff0c;因此 A/D 转换的第一步就是要按照奈奎斯 特采样定律对模拟信号进行采样。又由于数字信号在数值上也是不连续的&#xff0c;也就 是说数字信号的取值只有有限个数值&#xff0c;因此需要对采样后的数据尽量量化&#xff0c;使其 量化到有效电平上&#xff0c;编码就是对量化后的数值进行多进制到二进制二进制的转换。26、为什么一个标准的倒相器中 P 管的宽长比要比 N 管的宽长比大&#xff1f;和载流子有关&#xff0c; P 管是空穴导电&#xff0c;N 管电子导电&#xff0c;电子的迁移率大于空穴&#xff0c;同样的电场下&#xff0c; N 管的电流大于 P 管&#xff0c;因此要增大 P 管的宽长比&#xff0c;使之对称&#xff0c; 这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电和放电是时间相等27、锁相环有哪几部分组成 ?锁相环路是一种反馈控制电路&#xff0c;简称锁相环( PLL)锁相环的特点是&#xff1a;利用外部输入的参考信号控制环路内部振荡信号的频率和相位。因锁相环可以实现 输出信号频率对输入信号频率的自动跟踪&#xff0c;所以锁相环通常用于闭环跟踪电路。 锁相环在工作的过程中&#xff0c;当输出信号的频率与输入信号的频率相等时&#xff0c;输出电压与输入电压保持固定的相位差值&#xff0c;即输出电压与输入电压的相位被锁住&#xff0c;这就是锁相环名称的由来锁相环通常由鉴相器( PD)、环路滤波器(LF)和压控振荡器( VCO)三部 分组成。锁相环中的鉴相器又称为相位比较器&#xff0c;它的作用是检测输入信号和输出 信号的相位差&#xff0c;并将检测出的相位差信号转换成电压信号输出&#xff0c;该信号经低通滤 波器滤波后形成压控振荡器的控制电压&#xff0c;对振荡器输出信号的频率实施控制。28、用逻辑门和 COMS 电路实现 AB&#43;CD这里使用与非门实现&#xff1a;4042700877195e86bdf546bcb5346cbf.png(a) 用逻辑门实现ef5d8b99acef33d0874c1a930be7e99d.png(b) 用 CMOS 电路组成的与非门图(a)给出了用与非门实现 AB&#43;CD&#xff0c;图(b) 给出了用 CMOS 电路组成的与非门&#xff0c;将图 (b)代入图(a) 即可得到用 CMOS 电路实现 AB&#43;CD 的电路。29、用一个二选一 mux 和一个 inv 实现异或假设输入信号为 A、B &#xff0c;输出信号为 Y&#61;A’B&#43;AB ’。则用一个二选一 mux和一个 inv 实现异或的电路如下图所示&#xff1a;f480c7391843e3f811029fe1164596df.png-END-免责声明&#xff1a;整理文章为传播相关技术&#xff0c;版权归原作者所有&#xff0c;如有侵权&#xff0c;请联系删除

推荐阅读

【1】I2C和SPI总线&#xff0c;嵌入式工程师爱用哪个&#xff1f;

【2】单片机软件抗干扰的这几种办法&#xff0c;以后不能说不知道了

【3】终于整理齐了&#xff0c;电子工程师“设计锦囊”&#xff0c;你值得拥有&#xff01;

【4】半导体行业的人都在关注这几个公众号

ab4557fb33ff66a30d46ce18c1e25606.gif你和大牛工程师之间到底差了啥&#xff1f;加入技术交流群&#xff0c;与高手面对面 添加管理员微信51bd11507f96f8e12d6932572c96b44b.png加入“中国电子网微信群”交流96a19c5506dd7c1919d973239d4bc8a3.gif具体加群详情请戳→“中国电子网技术交流群”←


推荐阅读
  • Windows7企业版怎样存储安全新功能详解
    本文介绍了电脑公司发布的GHOST WIN7 SP1 X64 通用特别版 V2019.12,软件大小为5.71 GB,支持简体中文,属于国产软件,免费使用。文章还提到了用户评分和软件分类为Win7系统,运行环境为Windows。同时,文章还介绍了平台检测结果,无插件,通过了360、腾讯、金山和瑞星的检测。此外,文章还提到了本地下载文件大小为5.71 GB,需要先下载高速下载器才能进行高速下载。最后,文章详细解释了Windows7企业版的存储安全新功能。 ... [详细]
  • 提升Python编程效率的十点建议
    本文介绍了提升Python编程效率的十点建议,包括不使用分号、选择合适的代码编辑器、遵循Python代码规范等。这些建议可以帮助开发者节省时间,提高编程效率。同时,还提供了相关参考链接供读者深入学习。 ... [详细]
  • Java序列化对象传给PHP的方法及原理解析
    本文介绍了Java序列化对象传给PHP的方法及原理,包括Java对象传递的方式、序列化的方式、PHP中的序列化用法介绍、Java是否能反序列化PHP的数据、Java序列化的原理以及解决Java序列化中的问题。同时还解释了序列化的概念和作用,以及代码执行序列化所需要的权限。最后指出,序列化会将对象实例的所有字段都进行序列化,使得数据能够被表示为实例的序列化数据,但只有能够解释该格式的代码才能够确定数据的内容。 ... [详细]
  • 开发笔记:加密&json&StringIO模块&BytesIO模块
    篇首语:本文由编程笔记#小编为大家整理,主要介绍了加密&json&StringIO模块&BytesIO模块相关的知识,希望对你有一定的参考价值。一、加密加密 ... [详细]
  • Android中高级面试必知必会,积累总结
    本文介绍了Android中高级面试的必知必会内容,并总结了相关经验。文章指出,如今的Android市场对开发人员的要求更高,需要更专业的人才。同时,文章还给出了针对Android岗位的职责和要求,并提供了简历突出的建议。 ... [详细]
  • [译]技术公司十年经验的职场生涯回顾
    本文是一位在技术公司工作十年的职场人士对自己职业生涯的总结回顾。她的职业规划与众不同,令人深思又有趣。其中涉及到的内容有机器学习、创新创业以及引用了女性主义者在TED演讲中的部分讲义。文章表达了对职业生涯的愿望和希望,认为人类有能力不断改善自己。 ... [详细]
  • Java学习笔记之面向对象编程(OOP)
    本文介绍了Java学习笔记中的面向对象编程(OOP)内容,包括OOP的三大特性(封装、继承、多态)和五大原则(单一职责原则、开放封闭原则、里式替换原则、依赖倒置原则)。通过学习OOP,可以提高代码复用性、拓展性和安全性。 ... [详细]
  • 本文由编程笔记#小编为大家整理,主要介绍了logistic回归(线性和非线性)相关的知识,包括线性logistic回归的代码和数据集的分布情况。希望对你有一定的参考价值。 ... [详细]
  • 本文介绍了如何使用PHP向系统日历中添加事件的方法,通过使用PHP技术可以实现自动添加事件的功能,从而实现全局通知系统和迅速记录工具的自动化。同时还提到了系统exchange自带的日历具有同步感的特点,以及使用web技术实现自动添加事件的优势。 ... [详细]
  • HDU 2372 El Dorado(DP)的最长上升子序列长度求解方法
    本文介绍了解决HDU 2372 El Dorado问题的一种动态规划方法,通过循环k的方式求解最长上升子序列的长度。具体实现过程包括初始化dp数组、读取数列、计算最长上升子序列长度等步骤。 ... [详细]
  • 本文介绍了九度OnlineJudge中的1002题目“Grading”的解决方法。该题目要求设计一个公平的评分过程,将每个考题分配给3个独立的专家,如果他们的评分不一致,则需要请一位裁判做出最终决定。文章详细描述了评分规则,并给出了解决该问题的程序。 ... [详细]
  • 本文介绍了C++中省略号类型和参数个数不确定函数参数的使用方法,并提供了一个范例。通过宏定义的方式,可以方便地处理不定参数的情况。文章中给出了具体的代码实现,并对代码进行了解释和说明。这对于需要处理不定参数的情况的程序员来说,是一个很有用的参考资料。 ... [详细]
  • 本文介绍了OC学习笔记中的@property和@synthesize,包括属性的定义和合成的使用方法。通过示例代码详细讲解了@property和@synthesize的作用和用法。 ... [详细]
  • 本文主要解析了Open judge C16H问题中涉及到的Magical Balls的快速幂和逆元算法,并给出了问题的解析和解决方法。详细介绍了问题的背景和规则,并给出了相应的算法解析和实现步骤。通过本文的解析,读者可以更好地理解和解决Open judge C16H问题中的Magical Balls部分。 ... [详细]
  • 单点登录原理及实现方案详解
    本文详细介绍了单点登录的原理及实现方案,其中包括共享Session的方式,以及基于Redis的Session共享方案。同时,还分享了作者在应用环境中所遇到的问题和经验,希望对读者有所帮助。 ... [详细]
author-avatar
廖劫讲
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有