热门标签 | HotTags
当前位置:  开发笔记 > 编程语言 > 正文

mysql字段注释er_解决ER\Studio无法生成mysql列注释问题

前言最近改用ER\Studio建模,发现ER\Studio居然不支持生成mysql列注释,看网上都说勾选即可,然后生成mysql时并没有那

前言

最近改用ER\Studio建模,发现ER\Studio居然不支持生成mysql列注释,看网上都说勾选即可,然后生成mysql时并没有那个勾选项,试了下生成Oracle和DB2是支持的…

没有注释,那实体Bean的注释要手码?…no no no

于是开始改造

计划将生成mysql分为两步,第一步正常生成mysql文件,第二步生成DB2的sql文件,生成DB2文件是勾选如下选项,

8492894a88f0ffa8398edeee0d4afa63.png

然通过工具提取改造出独立的mysql列注释语句,so easy~~

演示

执行工具jar

#################################################################

此工具用于解决ER/Studio设置注释definition依然无法生成Mysql的列注释问题

整体步骤:

1、使用ER/Studio生成Mysql的sql在数据库建表

2、使用ER/Studio生成DB2的sql文件,用notepadd++转换转为UTF-8编码否则会乱码

3、通过java jar db2sqlConvert2MysqlComment.jar 启动运行此工具

4、按提示输入db2sql文件的路径,回车将会在同目录生成mysql添加注释的文件

5、在步骤1的数据库实例下执行此mysql添加注释的sql文件

另外注意:

1、目前只支持INTEGER、VARCHAR、TIMESTAMP、CHAR的注释生成,其他将忽略

2、ER/Studio中注释内容不要换行,否则请手动调整生成的文件补填单引号和缺失内容

#################################################################

请输入db2sql路径:

将db2sql文件拖过来,回车ok

#################################################################

请输入db2sql路径:E:\images\db2\db2.sql

输入数据:E:\images\db2\db2.sql

success create file,the file is E:\images\db2\db2_sql_mysqlcomment_1525835377513

.sql

打开文件看看结果吧

alter table tab_user modify column id int comment '用户主键'

;

百度网盘:ER\Studio 和解决无法生成mysql列注释工具 下载

没做过极限测试,可以自己调试改造或者留言。

源码:GO

画外音:

感觉ER/Studio 欺负mysql啊,Oracle才是亲儿子,生成的Mysql还要手动调整的,如主键自增、ENGINE等等,也可能是我使用的不熟练吧。ER权当备份和展示说明用了,自己还是做sql建表语句备份实在些。

作者:奕空



推荐阅读
  • Jboss的EJB部署描述符standardjaws.xml配置步骤详解
    本文详细介绍了Jboss的EJB部署描述符standardjaws.xml的配置步骤,包括映射CMP实体EJB、数据源连接池的获取以及数据库配置等内容。 ... [详细]
  • 一次上线事故,30岁+的程序员踩坑经验之谈
    本文主要介绍了一位30岁+的程序员在一次上线事故中踩坑的经验之谈。文章提到了在双十一活动期间,作为一个在线医疗项目,他们进行了优惠折扣活动的升级改造。然而,在上线前的最后一天,由于大量数据请求,导致部分接口出现问题。作者通过部署两台opentsdb来解决问题,但读数据的opentsdb仍然经常假死。作者只能查询最近24小时的数据。这次事故给他带来了很多教训和经验。 ... [详细]
  • android ... [详细]
  • 知识图谱——机器大脑中的知识库
    本文介绍了知识图谱在机器大脑中的应用,以及搜索引擎在知识图谱方面的发展。以谷歌知识图谱为例,说明了知识图谱的智能化特点。通过搜索引擎用户可以获取更加智能化的答案,如搜索关键词"Marie Curie",会得到居里夫人的详细信息以及与之相关的历史人物。知识图谱的出现引起了搜索引擎行业的变革,不仅美国的微软必应,中国的百度、搜狗等搜索引擎公司也纷纷推出了自己的知识图谱。 ... [详细]
  • 在Oracle11g以前版本中的的DataGuard物理备用数据库,可以以只读的方式打开数据库,但此时MediaRecovery利用日志进行数据同步的过 ... [详细]
  • 本文介绍了Oracle存储过程的基本语法和写法示例,同时还介绍了已命名的系统异常的产生原因。 ... [详细]
  • 从Oracle安全移植到国产达梦数据库的DBA实践与攻略
    随着我国对信息安全和自主可控技术的重视,国产数据库在党政机关、军队和大型央企等行业中得到了快速应用。本文介绍了如何降低从Oracle到国产达梦数据库的技术门槛,保障用户现有业务系统投资。具体包括分析待移植系统、确定移植对象、数据迁移、PL/SQL移植、校验移植结果以及应用系统的测试和优化等步骤。同时提供了移植攻略,包括待移植系统分析和准备移植环境的方法。通过本文的实践与攻略,DBA可以更好地完成Oracle安全移植到国产达梦数据库的工作。 ... [详细]
  • MySQL中的MVVC多版本并发控制机制的应用及实现
    本文介绍了MySQL中MVCC的应用及实现机制。MVCC是一种提高并发性能的技术,通过对事务内读取的内存进行处理,避免写操作堵塞读操作的并发问题。与其他数据库系统的MVCC实现机制不尽相同,MySQL的MVCC是在undolog中实现的。通过undolog可以找回数据的历史版本,提供给用户读取或在回滚时覆盖数据页上的数据。MySQL的大多数事务型存储引擎都实现了MVCC,但各自的实现机制有所不同。 ... [详细]
  • 分享css中提升优先级属性!important的用法总结
    web前端|css教程css!importantweb前端-css教程本文分享css中提升优先级属性!important的用法总结微信门店展示源码,vscode如何管理站点,ubu ... [详细]
  • soatest新建db工具,执行sql语句的步骤和注意事项
    本文介绍了在soatest中新建db工具并执行sql语句的步骤和注意事项,包括设置数据库连接参数、使用配置文件的方法编写sql查询语句、增加oracle驱动连接jar包、运行测试用例以及查看查询结果。详细说明了每个步骤的操作和相关注意事项。 ... [详细]
  • 如何利用 Myflash 解析 binlog ?
    本文主要介绍了对Myflash的测试,从准备测试环境到利用Myflash解析binl ... [详细]
  • Oracle :修改数据库服务器字符集 ... [详细]
  • 【爬虫】关于企业信用信息公示系统加速乐最新反爬虫机制
    ( ̄▽ ̄)~又得半夜修仙了,作为一个爬虫小白,花了3天时间写好的程序,才跑了一个月目标网站就更新了,是有点悲催,还是要只有一天的时间重构。升级后网站的层次结构并没有太多变化,表面上 ... [详细]
  • 开发笔记:MyBatis学习之逆向工程
    篇首语:本文由编程笔记#小编为大家整理,主要介绍了MyBatis学习之逆向工程相关的知识,希望对你有一定的参考价值。转载:http://w ... [详细]
  • Flutter App 中创建模块并且依赖 Flutter
    在FlutterApp中打开对应的Android工程;并且向Android原生工程添加一个AndroidModule模块,并且在工程根settings. ... [详细]
author-avatar
坐看末日之景L_170
这个家伙很懒,什么也没留下!
PHP1.CN | 中国最专业的PHP中文社区 | DevBox开发工具箱 | json解析格式化 |PHP资讯 | PHP教程 | 数据库技术 | 服务器技术 | 前端开发技术 | PHP框架 | 开发工具 | 在线工具
Copyright © 1998 - 2020 PHP1.CN. All Rights Reserved | 京公网安备 11010802041100号 | 京ICP备19059560号-4 | PHP1.CN 第一PHP社区 版权所有